【数字IC验证】VCS+UVM使用vdp文件产生波形

2 篇文章 1 订阅
订阅专栏


一、引言

  在上一篇 《Linux中UVM环境搭建》中,我们已经介绍了如何搭建UVM平台,本章将继续深入,在VCS上跑通UVM并显示仿真波形;


二、仿真文件修改

  如果要使仿真时产生vpd文件,则需要在仿真程序中(顶层)添加如下代码:

initial  begin
    $vcdpluson;
  end 

$vcdpluson;表示从此处记录波形生成vpd文件,默认文件名为vcdplus.vpd

三、修改UVM库中的Makefile文件

  需要修改的Makefile文件在uvm-1.1d文件夹的example文件夹下
在这里插入图片描述  在 《Linux中UVM环境搭建》中第四节添加的指令基础上,再添加如下配置:

-debug_access+all 

保存退出
在这里插入图片描述  只有添加了这条语句后,才能正常产生vpd文件,否则程序代码会报错;


四、修改自定义Makefile文件

  在 《Linux中UVM环境搭建》中第五节,我们设计了自己的Makefile文件,在此基础上我们对其修改,增加如下两条命令,这样我们每次在调用Makefile文件时就不需要删除上次仿真产生的文件,另一方面我们可以直接从终端跳转到仿真界面,无需再手动输入dev &打开可视化界面再添加波形文件了;
在这里插入图片描述  其中clean命令会在每次启动vcs进行编译前,将上一次产生的相关文件删除;
  run_dve命令则在生成vpd波形后,直接跳转至仿真界面;

UVM_HOME    = /home/ICer/uvm-1.1d

include /home/ICer/uvm-1.1d/examples/Makefile.vcs

all:clean comp run run_dve

comp:
    $(VCS) +incdir+../sv \
        run_test.sv

run:
    $(SIMV) +UVM_TESTNAME=uart_test
    $(CHECK)

clean:
    rm -rf *.vpd csrc *.log *.key *.vpd simv* DVE*

run_dve:
    dve -full64 -vpd vcdplus.vpd & 

五、启动VCS

  编写完Makefile文件后,我们在其目录下输入命令:

make -f Makefile.vcs

在这里插入图片描述
  我们可以看到,界面直接跳转到了VCS的仿真可视化界面;
在这里插入图片描述我们将需要观察的波形添加进来
在这里插入图片描述即可看到仿真的波形;
在这里插入图片描述


测试源码

链接: https://pan.baidu.com/s/1zHOFH_PcCa8e_Tjy51RYbA?pwd=zvbq
提取码:zvbq

VCS利用makefile仿真详细介绍
09-13
VCS中module_tb.v除了声明timescale,初始化信号输入和例化module外,还需要加上波形输出函数$vcdpluson()和仿真时间控制函数$finish()
VCS+UVM+Verdi 联合仿真
sinat_38294441的博客
05-26 612
VCS+UVM+Verdi 联合仿真
vcs编译UVM平台makefile命令
weixin_47349046的博客
03-24 1003
芯片行业奋战十余年老兵,芯片大厂验证专家,多年面试官经验,面试官一对一助你转行芯片验证!更多学习视频关注B站 id:605762016 飞哥_芯。1:定义宏变量VCS = vcs。8:com 平台编译命令宏定义。3:编译软件vcs运行参数配置。4:dump 波形所需要的库。6:testcase0名称。7:testcase1名称。
VCS仿真过程以及UVM库的安装编译
热门推荐
muxiaona的博客
09-05 1万+
VCS进行仿真的过程有两种编译模式 1:在该存放 源文件 和 普通tb文件文件夹上开启终端, 输入指令 vcs -full64 -V -R tb.v source.v -o simv -gui -debug_pp 这里注意 1.是否需要 -full64 看你的配置文件 是否把这个设置别称 2. 这里把所有的文件都列出来 ...
教你搭建linuxUVM+VCS基本平台,运行《UVM实战》中的第一个UVM代码并输出结果
yzhfditou888的博客
07-17 7610
教你搭建linuxUVM+VCS基本平台,运行《UVM实战》中的第一个UVM代码并输出结果
VCSUVM常用编译选项
qq_40571921的博客
12-26 7432
VCS编译选项 -full64 在64bit模式下编译、仿真,用于64位操作系统 -j8 指定编译器可以并行编译的进程数为8 -timescale=1ns/1ps 指定仿真时间和精度 +libext+.v+.V+.sv+.svh 识别.v、.sv、.svh等多种格式文件 -sverilog 支持system verilog 编译 -f xxx.lisf 指定包含所有设计文件列表的文件名 -top test 设置顶层模块为test。(指包含.
uvm+vcs+verdi基本平台搭建
11-09
uvm+vcs+verdi基本平台搭建,也许平台不是很难,但是网上没有 UVMVCS 中的详细教程,但是对于初学者就是一道屏障,我探索了几天,下文将一步一步的举例子说明 UVM+VCS+Verdi 的 liunx 平台搭建过程
linux VCS+verdi实现UVM实战第二章例子
12-23
这个"linux VCS+verdi实现UVM实战第二章例子"特别针对初学者,旨在帮助他们快速上手并理解UVM验证环境的构建和管理。 首先,了解UVM至关重要。UVM是一种基于SystemVerilog的验证方法论,用于验证硬件设计。它提供了...
VCS+Verdi联合仿真详细教程
02-28
"VCS+Verdi联合仿真详细教程" 本文主要介绍了VCS和Verdi联合仿真的详细教程,旨在帮助读者了解VCS和Verdi的基本概念和操作方法。VCS是一款编译型Verilog模拟器,具有高性能、规模大和高精度的特点,适合从行为级、...
数字ic验证eda工具
最新发布
05-21
通过使用先进的EDA工具和技术,如SystemVerilog、VCS硬件仿真加速器以及形式化验证方法,可以大大提高验证效率和准确性,确保数字IC满足设计需求。随着技术的发展,未来可能会出现更多创新的验证方法,进一步提升...
eetop.cn_VCS_command.rar_IC 设计_VCS_command vcs_vcs验证
09-14
本文将详细阐述VCS编译器中的常用命令,这些命令对于IC设计和验证人员来说是基础且至关重要的。 1. **编译与仿真** - `vcs`: 这是最基础的命令,用于编译Verilog源代码。例如,`vcs -sverilog -v my_module.v`会...
基于vcs+uvm+xilinx ip的仿真平台的半自动化搭建
u012938458的博客
05-13 1429
仿真平台的半自动化搭建
linux VCS+verdi运行UVM实战(第二章)中的例子
qq_37708525的博客
12-23 2911
在某宝上花了几十块,买了个虚拟机(已经安装好VCS+verdi)。直接用UVM实战中,现成的uvm代码了下。直接复制UVM实战的源码,也可以自己对着源码敲。将dut代码,agent代码,env代码以及reference model代码,直接进行文件分类,用package包起来了。最后,直接运行代码即可。
EDA08--VCS 波形文件和debug(二)
one11070910的博客
12-03 1972
介绍LinuxVCS仿真时需要的波形文件,vcd和vpd他们的产生以及特点、调用。在Testbench中如何添加代码。
Makefile使用教程
weixin_55225128的博客
01-02 2879
实际上这是按照VCS实际运行过程来划分步骤的,VCS运行的过程是将编译通过的文件临时存储,然后通过细化成为可执行的文件.simv,最后通过执行.simv文件即可完成文件的编译与仿真。注意:incdir文件的时候,只能包含文件夹下的文件,而不包括下级文件夹的内容(如果要包含下级文件夹的内容,需要写+incdir+uvm/{test,test/basic_test},这样就包括了uvm下test文件中的文件,同时包含了uvm下test下basic_test中的文件)Makefile中的=,:=,+=的用法。
vcs+verdi+uvm单步调试及查看所有phase的运行状态
weixin_42764060的博客
12-17 2066
vcs+verdi联合仿真中的单步调试
VCS(DVE)仿真波形的存储和打开.vpd
Bunny9__的博客
09-05 7788
点击【Save Current View(Wave.1)】,提示保存【.vpd.tcl】文件,这个并不是波形数据,而是波形的信号列表。
如何使用VCS和verdi通《UVM实战》上的例子
sinat_41774721的博客
04-01 7585
文章目录1.将下载好的代码导入虚拟机2.对文件的修改3.在终端输入vcs运行代码 最近我打算一下UVM实战上的例子加深对UVM的理解,以及提高代码编写的能力。一开始按照网上的方法,并没有通,遇到各种意料之外的问题,发现对于小白真是有点困难。最后集各家博主之长,总算通了,希望对大家有点帮助。 1.将下载好的代码导入虚拟机 2.配置路径 保存好后,在当前路径source一下 2.对文件的修改 首先在top_tb.sv中,将‘timescale 1ns/1ps注释掉,添加‘include “du
628 vcs 编译 仿真 过程中生成的文件分析
m0_51486205的博客
06-29 1257
1.在sim文件中有makefile脚本、有filelist.f 测试文件。首先使用如下脚本编译,生成如下目录。指定生成的vpd波形文件的存放位置。这种方式生成的vpd波形文件,在仿真simulate阶段生成。同时需要在comp 编译阶段 加入+vcs+vcdpluson指令如#35,才可在对应文件夹下生成波形文件。以下方法也可以生成vpd波形文件
写文章

热门文章

  • AMBA协议AXI-Stream(协议信号、设计实践) 13714
  • 基于verilog实现2FSK调制解调器 10942
  • FPGA资源之LUT 7298
  • AMBA协议AXI-Lite(AXI-Lite介绍) 5435
  • 各种FIFO硬件设计(FIFO概念、异步、同步、非2次幂深度FIFO) 5161

分类专栏

  • SDC约束 1篇
  • Synopsys 5篇
  • RISC-V架构CPU设计 3篇
  • 基于Vivado的硬件设计 14篇
  • SV+UVM数字IC验证 2篇
  • 基于Xilinx集成编译环境的时序约束 4篇
  • AMBA协议 5篇
  • verilog硬件描述语言 1篇

最新评论

  • AMBA协议AXI-Stream(协议信号、设计实践)

    PPRAM: 不好意思具体是哪些信号呢,这篇主要是针对xilinx的axi-stream单对单的实验,所以仅重点解释了握手信号和tlast,其他的一些id、tstrb,更多是用在axi4的outstanding、写交织、narrow burst里面的,不是重点所以就一笔略过了。如果你想了解这些信号,可以评论区里面列出来,我会一一回复。

  • AMBA协议AXI-Stream(协议信号、设计实践)

    LMIMPC: 笔者的文章自己不先读一读吗,有些信号的解释说了和没说没区别

  • 基于verilog实现2FSK调制解调器

    2201_75488384: 有偿求代码,谢谢!

  • FPGA资源之LUT

    king_machine design: K7系列,xc7k325有20w左右lut6

  • AMBA协议AXI-Stream(板级验证)

    PPRAM: 视情况需要,可以带宽一致不用fifo

最新文章

  • 【SDC时序约束】1.主时钟创建
  • 【Synopsys工具使用】2.Verdi的使用
  • 【Synopsys Bug记录】DC综合报错(显示warning:Unable to resolve reference)
2024年2篇
2023年17篇
2022年10篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

PPRAM

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或 充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

玻璃钢生产厂家遵义商场美陈装饰空间打造商场美陈长春玻璃钢雕塑价格龙泉玻璃钢气球雕塑香蕉玻璃钢卡通雕塑定制陈村仿真玻璃钢人物雕塑玻璃钢雕塑与grc雕塑重庆卡通人像玻璃钢雕塑玻璃钢传统人物雕塑采购商场走道美陈普陀区通用玻璃钢雕塑推荐秀屿玻璃钢花盆花器玻璃钢园林雕塑出售耐用性好玻璃钢花盆小型玻璃钢花盆生产长春玻璃钢雕塑定做天津抽象玻璃钢雕塑供应商玻璃钢骆驼雕塑厂家主题商场美陈采购哪有玻璃钢雕塑造型厂家秋季男装商场美陈平顶山校园玻璃钢彩绘雕塑玻璃钢雕塑仿铜定做汕头玻璃钢卡通人物雕塑厂家地址湖北玻璃钢花盆厂家秋季商场美陈有哪些玻璃钢人物雕塑理广州玻璃钢人物雕塑尺寸蒙自市玻璃钢雕塑订制浙江护栏玻璃钢花盆香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声单亲妈妈陷入热恋 14岁儿子报警汪小菲曝离婚始末遭遇山火的松茸之乡雅江山火三名扑火人员牺牲系谣言何赛飞追着代拍打萧美琴窜访捷克 外交部回应卫健委通报少年有偿捐血浆16次猝死手机成瘾是影响睡眠质量重要因素高校汽车撞人致3死16伤 司机系学生315晚会后胖东来又人满为患了小米汽车超级工厂正式揭幕中国拥有亿元资产的家庭达13.3万户周杰伦一审败诉网易男孩8年未见母亲被告知被遗忘许家印被限制高消费饲养员用铁锨驱打大熊猫被辞退男子被猫抓伤后确诊“猫抓病”特朗普无法缴纳4.54亿美元罚金倪萍分享减重40斤方法联合利华开始重组张家界的山上“长”满了韩国人?张立群任西安交通大学校长杨倩无缘巴黎奥运“重生之我在北大当嫡校长”黑马情侣提车了专访95后高颜值猪保姆考生莫言也上北大硕士复试名单了网友洛杉矶偶遇贾玲专家建议不必谈骨泥色变沉迷短剧的人就像掉进了杀猪盘奥巴马现身唐宁街 黑色着装引猜测七年后宇文玥被薅头发捞上岸事业单位女子向同事水杯投不明物质凯特王妃现身!外出购物视频曝光河南驻马店通报西平中学跳楼事件王树国卸任西安交大校长 师生送别恒大被罚41.75亿到底怎么缴男子被流浪猫绊倒 投喂者赔24万房客欠租失踪 房东直发愁西双版纳热带植物园回应蜉蝣大爆发钱人豪晒法院裁定实锤抄袭外国人感慨凌晨的中国很安全胖东来员工每周单休无小长假白宫:哈马斯三号人物被杀测试车高速逃费 小米:已补缴老人退休金被冒领16年 金额超20万

玻璃钢生产厂家 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化