VHDL&Quartus快速入门 3小时

跟着本文,3h可看懂简单vhdl程序

RTL表示 寄存器传输级

在RTL级,IC是由一组寄存器以及寄存器之间的逻辑操作构成。
RTL级和门级简单的区别在于,RTL是用硬件描述语言(Verilog 或VHDL)描述理想达到的功能,门级则是用具体的逻辑单元(依赖厂家的库)来实现你的功能。
RTL经过逻辑综合后,就得到门级。

VHDL语法

VHDL语法速成
虽然下面部分基本上是在照抄上面的链接,但是对于有一定编程基础的人,上面的链接太多了,下面的更加适合做备忘与查找,或者说下面的部分是VHDL与常见的C语言、C++、Python、Java等语言明显不同的地方。
1.
进制 # 基数 # 指数
2.
在这里插入图片描述
进制(B/O/X)“数组”
3. 下标
用小括号
在这里插入图片描述
downto 和 to 有什么区别
VHDL中的downto与to是表示范围的两个关键字,分别对应从左至右的索引是从大到小还是从小到大排列
4. 常量
在这里插入图片描述
常量有作用范围的说法
5. 变量
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
6.信号
在这里插入图片描述
注意信号和变量的赋值是不一样的,sig用<=,var用:=
在这里插入图片描述
对于Sig<=expression, expression是早就算好了放在右边的,但是实际上赋值给sig,需要等到进程结束。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
6. 数据类型
在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  1. 数据类型转换
    在这里插入图片描述
    在这里插入图片描述

  2. VHDL实例
    在这里插入图片描述

实体:实体(Entity)提供了被设计系统或器件的公共信息,指明了输入与输出引脚。实体由实体名、类型说明、端口说明、实体说明部分和实体语句部分组成。

entity 实体名 is  
    generic(常数名:数据类型:初值)  
    port(端口信号名:数据类型)  
end 实体名  

结构体:通过vhdl语句描述实体的具体行为和逻辑功能,

² 结构体( Architecture Body )具体指明了设计实体的行为,定义了设计实体的功能,规定了该设计实体的数据流程,指派了实体中内部元件的连接关系。一个实体必须有一个或可以有多个结构体。用 VHDL 语言描述结构体有 4 种方法:
(1)行为描述法:采用进程语句,顺序描述设计实体的行为。
(2)数据流描述法:采用进程语句,顺序描述数据流在控制流作用下被加工、处理、存储的全过程。
(3)结构描述法:采用并行处理语句描述设计实体内的结构组织和元件互连关系。
(4)采用多个进程(Process)、多个模块(Blocks)、多个子程序(Subprograms)的方法。

architecture 结构体名 of 实体名 is  
    说明部分(可选,如数据类型type 常数constand 信号signal 元件component 过程pocedure 变量variable和进程process等)  
begin  
    功能描述部分  
end 结构体名  

条件if语句

if 条件 then  
    --do something;
else if 条件 then 
    --do something;
else 
    --do something;
end if; 

循环for

for x in 0 to n loop
    --do something;
end loop;

赋值运算:
<= 信号赋值
:= 变量赋值
=> 数组内部分元素赋值

not 非
and 与
or 或
nand 与非
nor 或非
xor 异或
注意:对数组类型,参与运算的数组位数要相等,运算为对应位进行


    • / 除
      mod 模
      rem 取余
      ** 指数
      abs 绝对值
      注意:尽量只使用加减

=> 大于等于
<= 小于等于
大于
< 小于
/= 不等于
= 等于

& 连接运算结果为同类型构成的数组

注意:从本质上讲,VHDL代码是并发执行的。只有PROCESS,FUNCTION或者PROCEDURE内部的代码才是顺序执行的。值得注意的是,尽管这些模块中的代码是顺序执行的,但是当它们作为一个整体是,与其他模块之间又是并发的。IF,WAIT,CASE,LOOP语句都是顺序代码,用在PROCESS,FUNCTION和PROCEDURE内部。

QuartusII 速成

数电实验入门-Quartus||9.0基础教程
查看以下分p快速了解Quartus基本功能
P2 01软件使用之画图篇
P5 02软件使用之波形仿真篇-组合逻辑电路
P6 03软件使用之管脚分配篇-组合逻辑电路

VHDL程序结构

VHDL程序结构
库 实体(entity)结构体(architecture)的格式与结构=声明+功能
功能描述语句的5种类型
请添加图片描述
我不记得在哪里看的了,功能描述语句有3种风格,与5种类型区分:
行为风格直接给出算法,数据风格描述数据流向,组件风格首先定义组件引脚然后描述组件之间的连接方式
VHDL程序语言
对5种语言风格更加详尽的描述
感觉最常见的就是 算法风格,component和process(数据风格),另外两种不多见,可以延迟观看。

ZnS_oscar
关注 关注
  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA之道(31)VHDL编写注意事项
Reborn Lee
02-13 5937
本文摘选自《FPGA之道》,一起看看作者总结的VHDL编写的注意事项。
VHDL语言速成
03-26
很好的VHDL速成教材,适合于快速学习。
VHDL快速入门
热门推荐
messyking的博客
04-16 5万+
写在前面 VHDL是一门硬件语言,没学过硬件语言,挺感兴趣,还可以用在计组的实验中,花了点时间学习整理了一下VHDL的基本语法,方便查看。本blog所用到的所有图片都引用自 VHDL语言的基本语法参考文档 一、VHDL语言的基本语法 1、VHDL语言的表示符 2、VHDL的数字 2.1 数字型文字 156E2的意思是156×\times×10210^2102; 下划线可以连接数字。 2.2 数字基数表示的文字 2.3 字符串型文字 2.4 下标名及下标段名 二、VHDL语言的数据对象 .
VHDL学习笔记——基本语法小结
akadiao的博客
06-09 3402
VHDL学习
VHDL极简入门(一)VHDL基本层次与元件例化
最新发布
qq_45575557的博客
08-26 2540
VHDL入门,基本框架和模块例化方式
VHDL语言基础-VHDL程序的基本结构与主要构件
vizio.blog.csdn.net
10-26 4836
VHDL程序的基本结构与主要构件
华南理工大学VHDL实验一 Quartus软件入门及双向数据流总线的设计
01-15
Quartus II软件入门 - **Quartus II**是Altera公司推出的一款强大的FPGA/CPLD开发工具,它支持从原理图输入到高级语言输入的各种设计方式。 - 通过Quartus II软件,用户可以完成设计输入、综合、布局布线、仿真等...
VHDL语言教程&quartus应用
03-24
VHDL入门 quartus仿真 在EDA过程中,综合(synth踢六)是将软件描述(vHDL就其报述方式来说*仍届 软件描述j与硬件结构相联系的关键步骤,是文字描述与硬件实现的一座桥梁,是突破软 硬件屏障的有利武器。综合就是将...
Quartus-II使用教程-完整实例,quartus入门教程,VHDL
09-10
3. **编译与综合**:在源代码编写完成后,点击“Compile”按钮,Quartus-II会进行语法检查、逻辑优化和逻辑等效性检查,最后生成网表文件。 4. **仿真**:在设计验证阶段,可以使用Quartus-II内置的ModelSim仿真器...
VHDLQuartus II实战指南:从入门到精通
"该资源是一份关于VHDL语言和Quartus II软件使用的教程,主要涵盖VHDL的基础知识和Quartus II的工作流程。" 在电子设计自动化(EDA)领域,VHDL(Very High Speed Integrated Circuit Hardware Description ...
VHDL语法学习
qq_43425438的博客
08-08 8384
一、VHDL语言程序的基本结构 完整的VHDL语言程序包含实体(Entity)、构造体(Architercture)、配置(Configuration)、包集合(Package)和库(Library)五部分。其中,实体是用来描述设计系统的外部接口;构造体用于描述系统内部的结构和行为;包集合存放共享的数据类型、常数和子程序等;配置用于从库中选取所需单元来组成系统设计的不同版本;库存放已经编译的实体、构造体、包集合和配置。 1.1 实体 实体 .................................
可变数据类型与不可变数据类型
yuhao_的博客
06-23 7464
一、什么是可变类型与不可变类型? 可变数据类型 :当该数据类型的对应变量的值发生了改变,那么它对应的内存地址不发生改变,对于这种数据类型,就称可变数据类型。 不可变数据类型: 当该数据类型的对应变量的值发生了改变,那么它对应的内存地址也会发生改变,对于这种数据类型,就称不可变数据类型。 二、数据类型分类 2.1、整型 数据发生改变后,变量的内存地址发生了改变,所以整型是不可变数据类型 2.2、字符串 当数据发生改变后,变量的内存地址发生了改变,所以字符串就是不可变数据类型。 2.3、元组 元组被称为只
VHDL语法
m0_55321004的博客
07-09 782
VHDL语法
基于Quartus II 软件(VHDL)设计
vizio.blog.csdn.net
11-07 6999
基于Quartus II 软件设计流程
伪代码格式
直心的博客
03-25 4244
1. 缩进块表示块结构 2. while, for 与 repeat-until等循环结构与 if-else 等条件结构 退出循环后,循环计数器保持其值。 2.1 for 循环的介绍 2.1.1 to 当一个 for 循环每次迭代增加循环计数器时,使用关键词 to 2.1.2 downto 当一个 for 循环每次迭代减少循环计数器时,使用关键词 downto 2.1.3 by 当循环计数器以大于...
FPGA学习verilog基础运算符
qq_51152795的博客
05-31 4574
在编写程序之前,我们先学习Verilog语法知识,我们之前写的程序用到了运算符,例如我们用Verilog描述1个非门,我们就用到了 取反运算符‘~’,我们写求和模块用到了求和运算符’+’ , 那还有没有别的运算符呢?这里我们要拓展这方面的知识。在实际项目中边学边练是最好的方式。Verilog HDL语言的运算符范围应用很广,其运算符按照功能可分为以下几类:(1)算术运算符( + , - , *, /, %)(2)赋值运算符 ( = ,
VHDL硬件描述语言学习笔记(二)
凳子花❀的博客
09-14 5557
文章目录1.3 VHDL语言要素1.3.1 VHDL文字规则1.3.2 数据对象1.3.3 VHDL数据类型1.3.4 VHDL中的表达式1.4 VHDL顺序语句(Sequential)3.4.1 对象与赋值语句1.4.2转向控制语句 1.3 VHDL语言要素 四类语言要素: 数据对象(Data Object) 数据类型(Data Type) 操作数(Operands) 操作符(Operator) 1.3.1 VHDL文字规则 数字型文字 (1)整数文字:十进制整数 如:5,678,156E2(=15
【 Verilog HDL基本结构行为描述】
qq_52774195的博客
05-26 1091
Verilog HDL基本结构行为描述
写文章

热门文章

  • 运行novelAI cuda.OutOfMemoryError GPU内存不足 但是GPU内存有空间 7297
  • Docker中Failed to initialize NVML: Unknown Error 6662
  • 2022 南京大学夏令营开放日线上笔试 考试经验 3700
  • PIL.UnidentifiedImageError: cannot identify image file 解决方法 3553
  • Windows 10 喇叭红叉 重装驱动无效 点击喇叭显示无插座信息 3011

分类专栏

  • 报错处理 2篇
  • leetcode 6篇
  • 三维重建 3篇
  • 非计算机
  • python 1篇
  • 图片处理 1篇
  • novelAI 1篇
  • java基础 8篇
  • 操作系统 1篇
  • 笔记 1篇
  • 系统级编程 1篇
  • Unity 1篇
  • 数学建模
  • FCC 2篇

最新评论

  • 无法在Pytorch Lightning中加载自定义预训练权重

    CSDN-Ada助手: 推荐 Python入门 技能树:https://edu.csdn.net/skill/python?utm_source=AI_act_python

  • Docker中Failed to initialize NVML: Unknown Error

    Viva__la__vida: 退出当前容器环境,在自己的环境修改,而非新建文件

  • Docker中Failed to initialize NVML: Unknown Error

    ou得之: /etc/nvidia-container-runtime/config.toml这个是在容器中新建吗?

  • 2022 南京大学夏令营开放日线上笔试 考试经验

    m0_63695327: 请问测试结束多久以后会出结果呀?

  • 2022 南京大学夏令营开放日线上笔试 考试经验

    ZnS_oscar: 不好意思两个月没登录csdn了。没收到邮件就是没过

大家在看

  • 科普文:软件架构数据库系列之【MySQL三高架构设计:高并发、高性能、高可用】 313
  • day6:网络管理
  • 【产品经理修炼之道】-SaaS创业路线图(九):怎样的竞争策略最聪明? 170
  • 提升论文质量:使用ChatGPT撰写精彩的文献综述
  • 【Markdown速成】半小时入门Markdown(后缀.md文件详解)

最新文章

  • 如何从huggingface下载
  • ValueError: pic should not have > 4 channels. Got XXX channels.
  • 如何在一个电脑上上设置两个github账号
2024年12篇
2023年7篇
2022年21篇
2021年2篇
2020年23篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

玻璃钢生产厂家广东装饰商场美陈价格浙江定制玻璃钢雕塑市场天津人物玻璃钢雕塑销售厂家淮安商场美陈策划玻璃钢仿铜雕塑哪家靠谱河南佛像玻璃钢雕塑张掖市玻璃钢雕塑定制秋季商场美陈哪里买玻璃钢仿真大螃蟹雕塑玻璃钢小品雕塑品牌好小区玻璃钢花盆西安欧式玻璃钢雕塑民权玻璃钢雕塑价格定西玻璃钢植物雕塑哪家好浙江商业商场美陈价钱商场美陈气球展腾冲市玻璃钢雕塑收费展馆玻璃钢雕塑广东主题商场美陈批发价玻璃钢雕塑价格便宜南山玻璃钢人物雕塑价格实惠荆门玻璃钢雕塑造型贴金镜面玻璃钢仿铜雕塑小品张家界景区玻璃钢雕塑定做定制小鸡玻璃钢花盆新乡玻璃钢浮雕雕塑定制厂家济源抽象玻璃钢仿铜雕塑定做新郑铸铜校园玻璃钢雕塑厂家宁夏抽象人物玻璃钢雕塑厂家蚌埠玻璃钢雕塑价格香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声单亲妈妈陷入热恋 14岁儿子报警汪小菲曝离婚始末遭遇山火的松茸之乡雅江山火三名扑火人员牺牲系谣言何赛飞追着代拍打萧美琴窜访捷克 外交部回应卫健委通报少年有偿捐血浆16次猝死手机成瘾是影响睡眠质量重要因素高校汽车撞人致3死16伤 司机系学生315晚会后胖东来又人满为患了小米汽车超级工厂正式揭幕中国拥有亿元资产的家庭达13.3万户周杰伦一审败诉网易男孩8年未见母亲被告知被遗忘许家印被限制高消费饲养员用铁锨驱打大熊猫被辞退男子被猫抓伤后确诊“猫抓病”特朗普无法缴纳4.54亿美元罚金倪萍分享减重40斤方法联合利华开始重组张家界的山上“长”满了韩国人?张立群任西安交通大学校长杨倩无缘巴黎奥运“重生之我在北大当嫡校长”黑马情侣提车了专访95后高颜值猪保姆考生莫言也上北大硕士复试名单了网友洛杉矶偶遇贾玲专家建议不必谈骨泥色变沉迷短剧的人就像掉进了杀猪盘奥巴马现身唐宁街 黑色着装引猜测七年后宇文玥被薅头发捞上岸事业单位女子向同事水杯投不明物质凯特王妃现身!外出购物视频曝光河南驻马店通报西平中学跳楼事件王树国卸任西安交大校长 师生送别恒大被罚41.75亿到底怎么缴男子被流浪猫绊倒 投喂者赔24万房客欠租失踪 房东直发愁西双版纳热带植物园回应蜉蝣大爆发钱人豪晒法院裁定实锤抄袭外国人感慨凌晨的中国很安全胖东来员工每周单休无小长假白宫:哈马斯三号人物被杀测试车高速逃费 小米:已补缴老人退休金被冒领16年 金额超20万

玻璃钢生产厂家 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化