CRPR/CPPR

S CRPR  clock reconvergence pessimism removal

C CPPR  clock path pessimism removal

剔除公共clock path上的悲观度。

 

 

看图说话,上图是最常见最基本的一条timing path: 有launch clock path, data path 跟 capture clock path; 大多数电路中的大多数timing path 的launch clock 跟 capture clock 都从同一个时钟源头来,经过一段『共享路径』后分离,再各自达到自己的『彼岸』。这段『共享路径』的英文名儿叫: common clock path;  launch clock path 跟 capture clock path 分道扬镳点的英文名儿叫: common point. CRPR/CPPR 的操作对象就是common point 跟common clock path.

 一个view 对应一套PVT 的库跟某一个确定的RC corner, 在确定的PVT 跟 RC corner 下,common clock path 上的cell 不论是被当做launch clock path 还是capture clock path 其delay 值都是固定的,但是在做STA 分析时为了模拟Variation 会对launch clock path, data path 跟 capture clock path 分别设不同的derate 值,可能是Flat OCV, AOCV 或POCV/SOCV.

 

拿setup 分析为例,通常会设一个late 的derate 值(大于1的值)到launch clock path 上,同时会设一个early 的derate 值(小于1的值)到capture clock path 上,这就使得common clock path 在被当做launch clock path 和capture clock path 时的delay 有了差别,但是对于同一段path 在一个固定时间内,它的delay 值一定是固定的,不可能忽大忽小,所以在STA 分析时,需要把由于derate 引起的common path 上delay 的差值剔除掉,这就是所谓的CRPR/CPPR.

 

CRPR/CPPR 跟时钟沿的关系:

在计算CRPR/CPPR 需要考虑时钟沿,工具会分别计算时钟上升沿跟下降沿到达common point 的时间及对应的CRPR/CPPR. 在Innovus 跟Tempus 中默认行为是:如果launch clock 跟capture clock 在时钟源处边沿相同且到达common point 时边沿亦相同,那CRPR/CPPR 的值就是对应时钟沿计算所得的值;如果 launch clock 跟capture clock的时钟沿不同,则取 min ( <rise-cppr>, <fall-cppr>). 

 

 该行为可以通过变量控制,目前主流工具中都有对应变量,在tempus 中是 timing_cppr_transition_sense, 该变量默认值是normal 行为如上所述,如果设成 "same_transition" , 在 launch clock 跟capture clock的时钟沿不同时 CRPR/CPPR 取0.

 

CPPR 跟cross talk delta delay 的关系

因为耦合电容存在,在先进工艺结点,cross talk 几乎不能避免,所以通常STA 分析都要使能SI, 即:在计算timing 时将耦合电容的影响考虑在内。cross talk 是STA 一个巨大的话题,除了对timing 的影响,还有glitch 的分析。

 

耦合电容好比传播介质,做得好的设计好比隔音好的房子,隔壁啪得声音再大,也传不过来,因为耦合电容小到几乎没有,做得差的设计就是没隔音的破房子,恨不得隔壁的悄悄话都能在这边绕梁三日。带SI 的STA 分析,有两个基本对象:Victim 跟 Aggressor, 太难记,对应成『受』跟『攻』就好记多了。『受』就是当前分析的这条net, 每个『受』的周围都可能有一个或多个『攻』。当『攻』跟『受』同向翻转时,会加快『受』的跳变,当『攻』跟『受』异向翻转时,会减缓『受』的跳变。

 在当前STA 方法学中,对由cross talk 引起的delta delay 的计算方式是:先分别计算『攻』和『受』的timing window ,选取有overlap 的『攻』来计算对『受』的影响,如果『攻』的力度太小也会被过滤掉,只有强大到一定的『攻』才会被计算在内。

  • 对于setup: 会假设launch clock and data path 上所有『受』的『攻』都跟『受』异向翻转,都会减缓『受』的跳变,使整条timing path delay 变长;同时也假设capture clock path 上所有『受』的『攻』都跟『受』同向翻转,都会加快『受』的跳变,使整条timing path delay 变短。

  • 对于hold: 会假设launch clock and data path 上所有『受』的『攻』都跟『受』同向翻转,都会加快『受』的跳变,使整条timing path delay 变短;同时也假设capture clock path 上所有『受』的『攻』都跟『受』异向翻转,都会减缓『受』的跳变,使整条timing path delay 变长

 

在这种情况下,common path 上由cross talk 引起的delta delay 如何处理?

  • 对于setup, 通常launch clock 跟capture clock 都不是同沿clock, 而在实际电路中,不能保证非同沿clock 对应的timing window 一致,所以就不能保证『受』在非同沿clock 遇到相同的『攻』,在这种情况下,common path 上由cross talk 引起的delta delay 是不能够用CPPR 减掉的。< 特别声明:在一些特别的设计里,有同沿的setup check 对于同沿的setup check 由cross talk 引起的delta delay 是能够用CPPR 减掉的. >

  • 对于hold, 通常launch clock 跟capture clock 都是同沿clock, 同沿clock 对应的timing window 一致,所以『受』在同沿clock 总能遇到相同的『攻』,在这种情况下,common path 上由cross talk 引起的delta delay 是能够用CPPR 减掉的。

clock Reconvergence 的CPPR

所谓clock reconvergence 就是分开又相聚的clock path, 看图说话,在下图CLK1 在C1 点分了叉在CP2 点又相聚,又分叉在CP3 点再相聚。对于这样的clock path 在计算CPPR 时,不同工具都有相应变量控制,在Tempus 跟Innovus 中由如下变量控制:

timing_cppr_skip_clock_reconvergence 

 

 

 

 

 在该report 中有一行:Pessimism Threshold Value: 0.020, 这个值在不同工具中也是由变量控制的,为了runtime 可以牺牲掉部分精度,如果记忆正确,目前大部分工具中默认值都是20ps, 通常在timing signoff 的最后阶段为了追求timing 精度(其实是工程师想得到更好看的timing)会将该值设成一个非常小的值比如1ps 或5ps, 在Tempus 跟Innovus 中对应的变量是:timing_cppr_threshold_ps. 

 

 

转载于:https://www.cnblogs.com/lelin/p/11385982.html

diedai7174
关注 关注
  • 2
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
如何看report_crpr的报告?(CRPR与SI的关系)
m0_61544122的博客
06-14 801
就像上面说的,setup非同沿检查,这部分SI的影响要保留,报告里path delay的计算已经包含这部分值了,那crpr的值不需要再计算SI的影响了,这里clock reconvergence pessimism(crpr)的值就是report_crpr里的"Static"的值,而hold同沿检查,common path上SI影响要剔除,所以crpr的值就要包含SI的影响,clock reconvergence pessimism(crpr)的值就等于report_crpr里"Dynamic"的值。
静态时序分析—悲观路径移除(CRPR :Clock Reconvergence Pessimism Removal)
m0_61544122的博客
08-25 4547
当做时序分析时launch clock path与capture clock path存在共同的一段路径(common path),若使用ocv分析模式,会导致共同路径上的cell产生不同的delay值,为了消除这种悲观的情况,eda工具引入crpr(clock reconvergence pessimism removal),也叫cppr(clock pathpessimism removal),以此抹平公共路径上的差异。 ICC2中使用如下命令开启crpr: set_app_options -
静态时序分析-CRPR/CPPR-共同路径悲观去除
sinat_41774721的博客
07-27 5266
S家称C家称中文名,,它的作用是去除clockpath上的相同路径上的悲观计算量。
每天学命令<report_cppr>
Tao_ZT的博客
03-03 477
report_cppr-frompin_or_port-topin_or_port[-from_clockclkname][-to_clockclkname][-e...
【数字时序】时钟树延迟偏差——CPPR adjustment
最新发布
Maaaa的博客
08-26 865
但对于common path,在计算setup的时候,作为launch path使用的是max delay,作为capture path使用的是min delay,这会导致结果过于悲观,所以需要去除这个计算的悲观量。当CRP的值小于给定的timing_crpr_threshold_ps时,路径不做CRPR补偿,保留一定的悲观度,因此 report_timing报出来的CRP值与report_crpr报出来的CRP值差距不会超过timing_crpr_threshold_ps的值。
时序分析基本概念介绍<CPPR>
Tao_ZT的博客
06-23 8438
今天我们要介绍的时序分析概念是CPPR(CRPR)。全称Clock Path Pessimism Removal(Clock Reconvergence Pessimis...
【数字后端设计】CPPR详解
m0_61003348的博客
09-02 6735
全称是on chip variation,同一片wafer上,因为片上工艺的误差,导致不同位置的chip性能不一样。同一块chip,不同位置上的同一类cell的性能也会有差异。为此我们引入OCV的概念,用来在设计阶段模拟这些片上误差。,这会导致结果过于悲观,所以需要去除这个计算的悲观量。,重新构建时钟网络,并计算所有的起点终点。对公共路径的影响不一定是相同的,因此。在同一个时钟沿采样,同沿时钟对应的。会选择路径的max delay,对公共路径的影响是相同的,因此。补偿,保留一定的悲观度,因此。
STA之PVT
diedai7174的博客
08-27 1407
在STA星球,用library PVT、RC corner跟OCV来模拟这些不可控的随机因素。在每个工艺结点,通过大量的建模跟实测,针对每个具体的工艺,foundary厂都会提供一张推荐的timingsignoff表格, 建议需要signoff的corner及各个corner需要设置的ocv跟margin。这些corner能保证大部分芯片可以承受温度、电压跟工艺偏差,一个corner=l...
timing derate失效,cppr为0原因分析
m0_61544122的博客
08-14 145
setup violation path的cppr为0,report_crpr只有slow corner异常fast corner却是正常的。可以看到,ss corner的set_time_derate -early 给了一个大于1的值,就是这个错误导致工具把cppr值看做0的。究其原因是set_timing_derate设置出了问题。我正在「拾陆楼」和朋友们讨论有趣的话题,你⼀起来吧?
数字后端基础——各种缩写定义
qq_36480087的博客
12-15 1万+
MSMV : Multi-Supply Multi-Voltage (多电源多电压) PSO:Power Shut Off (电源关断) SRPG:State Retention Power Gating(状态保持电源门控)
OCV与CPPR模式的理解
weixin_30929195的博客
08-31 2598
【资料】 OCV模式和CPPR模式的技术层面解答: OCV是on-chip variation. 是指在同一个芯片上, 由于制造工艺等原因造成的偏差. 具体表现在到两个ff的clk端的时钟路径. 本来时间应该是一样的. 但是因为制造工艺也就是OCV的原因, 造成工具无法计算的快慢偏差.timing derate. 这个值就是告诉工具, OCV的影响有多大. 通常signoff的时候. dera...
ICC II 5 CTS(时钟树综合)
旺旺家族的传说
12-14 1万+
clock tree 检查设计是否准备好 CTS check_design -checks pre_clock_tree_stage 检查 的内容有 clock definition & propagation; reference cells 有没有标记 dont touch的参考单元 skew balancing : 多个时钟之间的 冲突 的平衡; multi_voltage :对于 multi voltage 的设计 需要插入 AON 的bufs / invs ; 会检查 这些bufs 是
静态时序分析(STA)的不同分析模式
McEv0y的博客
08-20 2213
本文介绍了STA的基本概念及STA的两种模式。
数字IC-ME-STA
xxc_1992的博客
02-11 4401
本文属于静态时序分析基础知识。
串扰——Crosstalk
taylor_2019的博客
09-22 7679
什么是串扰 串扰是两条信号线之间的耦合、信号线之间的互感和互容引起线上的噪声。容性耦合引发耦合电流,而感性耦合引发耦合电压。PCB板层的参数、信号线间距、驱动端和接收端的电气特性及线端接方式对串扰都有一定的影响。串扰也可以理解为感应噪声。 串扰的危害 目前市面上绝大多数耳机、音箱、手机基本采用的是左右双通道配置的方案,如果左右通道间存在串扰,会出现如下的现象:左喇叭在播放某一段音频信号时,右喇叭也会播放一些不必要的信号,反之,右喇叭工作时,左喇叭也会受影响。 现代的歌曲基本都是立体声的,经过调音师精.
OCV、AOCV、POCV、LVF介绍
热门推荐
hepiaopiao_wemedia的博客
08-09 5万+
芯片在生产过程中,由于外界条件和生产条件的变化,比如PVT,可能会产生不同的误差从而导致同一晶圆上不同区域上的芯片里的晶体管速度变快或者变慢,并因此产生corner概念。 一、OCV介绍(on chip variation): 同理,同一块芯片上的晶体管也会有变快或者变慢的现象,因此产生了OCV的概念。 OCV在path上设置统一的derate,悲观度较高。derate数值是指对launch...
CRPR的几种影响
zhenhuagege的博客
04-23 4309
CRPR CRPR是clock reconvergence pessimism removal的缩写,指的是clock path的common部分所造成的影响,在计算timing时,需要考虑到CRPR的影响; 具体CRPR会影响到哪些方面,首先看下图 CRPR & SI 在计算SETUP和HOLD时,在后期route后,需要考虑SI的影响,而我们在计算SI时,需要考虑到victim和aggressor; 在计算setup时,launch edge和capture edge是相邻的两个上升沿,而工具
合理的时钟结构能够加速Timing收敛(时钟树综合中级篇)
weixin_37584728的博客
05-23 3557
合理的时钟结构能够加速Timing收敛(时钟树综合中级篇) 时钟树综合(Clock Tree Synthesis)一直是数字后端实现中最为重要的步骤之一。随着芯片时钟越来越多,设计阶段都采用了时钟切换电路,时钟结构越来越复杂(除了 func mode 外,还有 test mode 和 mbist 等模式)。针对复杂的时钟结构,想单纯依靠 EAD TOOL 的 CTS engine 来实现一个比较好的 clock tree 质量,几乎不太可能。而且一个比较理想的 clock tree,都是要通过若干次的迭代而
考虑crpr时,需不需要考虑si的影响?
11-10
在考虑CRPR(竞争资源优先级调度算法)时,需要考虑SI(系统负载指数)的影响。 首先,SI反映了系统当前的负载情况。负载的增加会导致系统性能下降,而负载的减少则会导致系统性能提升。因此,考虑SI的影响可以帮助...
写文章

热门文章

  • PTPX-功耗分析总结 7005
  • 动态功耗计算 6683
  • 浅谈Power Signoff 4718
  • STA之RC Corner 4627
  • 读懂timing report 4449

最新文章

  • 字典/集合
  • Tuple元组
  • 序列/列表
2019年86篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

玻璃钢生产厂家校园玻璃钢雕塑工厂临夏玻璃钢雕塑厂家保山玻璃钢雕塑商家珠海玻璃钢人物雕塑价格合理广东户外玻璃钢雕塑定制玻璃钢花盆和瓷花盆泸州玻璃钢海豚雕塑厂家珠海玻璃钢卡通公仔雕塑供应厂家福建开业商场美陈订购栖霞商场中秋美陈商场美陈运动风昭通市玻璃钢雕塑批发价格商场dp点美陈深圳景观玻璃钢雕塑订做价格玻璃钢雕塑厂招工信息上饶水果玻璃钢雕塑运城园林景观玻璃钢卡通雕塑浙江特色商场美陈批发创意坐凳玻璃钢雕塑鹰潭商场美陈雕塑厂家主题玻璃钢雕塑产品介绍陕西玻璃钢浮雕雕塑批发金昌玻璃钢植物雕塑厂家河源透明玻璃钢雕塑厂家绍兴玻璃钢陶瓷雕塑图片上海商场商业美陈报价济南家用玻璃钢雕塑摆件长春玻璃钢雕塑租赁长葛玻璃钢雕塑费用白银玻璃钢植物雕塑价格香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声单亲妈妈陷入热恋 14岁儿子报警汪小菲曝离婚始末遭遇山火的松茸之乡雅江山火三名扑火人员牺牲系谣言何赛飞追着代拍打萧美琴窜访捷克 外交部回应卫健委通报少年有偿捐血浆16次猝死手机成瘾是影响睡眠质量重要因素高校汽车撞人致3死16伤 司机系学生315晚会后胖东来又人满为患了小米汽车超级工厂正式揭幕中国拥有亿元资产的家庭达13.3万户周杰伦一审败诉网易男孩8年未见母亲被告知被遗忘许家印被限制高消费饲养员用铁锨驱打大熊猫被辞退男子被猫抓伤后确诊“猫抓病”特朗普无法缴纳4.54亿美元罚金倪萍分享减重40斤方法联合利华开始重组张家界的山上“长”满了韩国人?张立群任西安交通大学校长杨倩无缘巴黎奥运“重生之我在北大当嫡校长”黑马情侣提车了专访95后高颜值猪保姆考生莫言也上北大硕士复试名单了网友洛杉矶偶遇贾玲专家建议不必谈骨泥色变沉迷短剧的人就像掉进了杀猪盘奥巴马现身唐宁街 黑色着装引猜测七年后宇文玥被薅头发捞上岸事业单位女子向同事水杯投不明物质凯特王妃现身!外出购物视频曝光河南驻马店通报西平中学跳楼事件王树国卸任西安交大校长 师生送别恒大被罚41.75亿到底怎么缴男子被流浪猫绊倒 投喂者赔24万房客欠租失踪 房东直发愁西双版纳热带植物园回应蜉蝣大爆发钱人豪晒法院裁定实锤抄袭外国人感慨凌晨的中国很安全胖东来员工每周单休无小长假白宫:哈马斯三号人物被杀测试车高速逃费 小米:已补缴老人退休金被冒领16年 金额超20万

玻璃钢生产厂家 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化