编码器 | 如何用两片8线-3线优先编码器扩展成16线-4线——数电第四章学习


为了区分不同的事务,将其中的每个事物用一个二值代码表示,编码器的功能就是将输入的每一个高低电平编成一个对应的二进制代码。

普通编码器

在这里插入图片描述
意思即是可以通过看 Y 1 Y 2 Y 0 Y_1Y_2Y_0 Y1Y2Y0的输出情况推断出输入的是什么信号。
在这里插入图片描述
最后可以化简成
在这里插入图片描述
电路图可以化成如下图所示:
在这里插入图片描述

优先编码器

特点:允许同时输入两个以上的编码信号,但只对其中优先权最高的一个进行编码。
常见的优先编码器有74H148(低电平有效)
在这里插入图片描述
观察此图我们可以发现,除了输入输出信号,图中还有红色标记的额外输出和额外输入。
这其实是为了扩展电路的功能和增加使用的灵活性。

  1. S ′ S' S:只有在 S ′ S' S=0的时候 ,编码器才正常工作 (经过反相器后,S=1,与门中1对其他项无干扰作用)
    S ′ S' S时,所有输出端都被封锁在高电平(分析思路与上面一致)。
  2. Y S ′ Y_S' YS:只有当所有的编码都是高电平,且S=1时, Y S ′ Y_S' YS才为低电平。
    其含义为“电路工作,但无编码输入
    在这里插入图片描述
  3. Y E X ′ Y _{EX}' YEX:只要任何一个编码输入端有低电平输入,且S=1;则为低电平
    含义为“电路工作,且有编码输入
    在这里插入图片描述

在这里插入图片描述
表中出现的三种 Y 2 ′ Y 1 ′ Y 0 ′ = 111 Y_2'Y_1'Y_0'=111 Y2Y1Y0=111的情况可用 Y E X ′ Y_{EX}' YEX Y S ′ Y_S' YS不同状态加以区分
从真值表中也可看出:当 I 7 ′ I_7' I7为0时,无论其他输入是多少,输出端只给出
I 7 ′ I_7' I7的编码,(其余输出状态相同分析)

扩展

如何用两片8线-3线优先编码器扩展成16线-4线优先编码器?
我们希望 A 15 ′ A_{15}' A15的优先级最高。

  1. 首先将两片芯片进行级联。
    在这里插入图片描述
    设定其 S ′ S' S Y S ′ Y_S' YS表示的工作状态。注意中间是 Y S ′ Y_S' YS与第二个芯片的 S ′ S' S连接。
    ( 1 ) 第一个 Y S ′ Y_S' YS=0时代表第一个芯片处于工作状态但无编码输入,则第二个芯片 S ′ S' S也为0代表第二个编码器正常工作。
    ( 2 ) 第一个 Y S ′ Y_S' YS=1时代表第一个芯片处于工作状态且有编码输入,则第二个芯片 S ′ S' S也为1代表第二个编码器不能工作。
    上述可以优先确保优先权。
    在这里插入图片描述
    下图中的与非门,有0则1可以确保两端输出
    在这里插入图片描述
    在这里插入图片描述
    这是从网上找来的真值表图,其中GS对应 Y E X ′ Y _{EX}' YEX,E0对应 Y S ′ Y _{S}' YS,EI对应 S ′ S' S
    在这里插入图片描述
看星河的兔子
关注 关注
  • 35
    点赞
  • 197
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 16
    评论
VL16—使用8线-3线优先编码器I实现16线-4线优先编码器(对源代码和测试代码tb的透彻了解)(待续tb)
qq_21952195的博客
07-17 2899
利用83编码器实现164编码器
两片74LS148设计16-4线优先编码器
07-15
本文主要讲了两片74LS148设计16-4线优先编码器,希望对你的学习有所帮助。
SN74LS148优先编码器的级联使用
远望创客学堂
02-22 1万+
优先编码器允许同时输入两个或两个以上的编码信号,在编码器内部已经将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码。 SN74LS148是8输入3输出的优先编码器, 如上图中I0—I7为输入信号,A2,A1,A0为三位二进制编码输出信号,EI是使能输入端(低电平有效),EO是使能输出端,GS为片优先编码输出端,EO和GS主要用于级联。 当OE输入IE=1时,禁止编码、输出(反码): A2,A1,A0为全1。 ...
3—8译码器扩展4-16、5-32译码器
最新发布
weixin_58320988的博客
07-16 321
5-32译码输出的方法是:用D4控制上下各两块的E3(high),其中上半部分两个的E3要加一个反相器,D3对于上半部分两个译码器使用反相器选择奇偶位,其他引脚按芯片手册接线。单个138译码器有三个输入A2A1A0,S0(High)、S1(Low)和S2(Low)三个使能端和Y0-Y7八个译码输出(Low)。4-16译码输出的方法是:D3D2D1D0的后三位正常接到两个译码器的A2A1A0 口,剩下的D3接第一个译码器的E(low)和第二个igh)。
基于FPGA的16-4编码译码电路设计
qq_52514136的博客
10-11 5870
基于FPGA的16-4编码译码电路设计
16线4线优先编码器vhdl设计
06-02
经硬件测试可以通过,如果打不开的话就用文本打开
使用8线-3线优先编码器Ⅰ实现16线-4线优先编码器
jk_101的博客
10-13 1240
使4个或门都打开,L[2:0]取决于U0的输出,而L[3]=GS1总是等于0,所以输出代码在0000-0111之间变化。当EI1=1且A[15:8]中至少有一个为高电平输人时,EO1=0,使EI0=0,U0禁止编码,此时L[3]=GS1=1,L[2:0]取决于U1的输出,输出代码在1000~1111之间变化,并且A的优先级别最高。当E=1时,U1允许编码,若A[15:8]均无有效电平输人,则EO1=1,使EI0=1,从而允许U0编码,因此U1的优先级高于U0。注:解题分析来源于网友,如有侵权,请告删之。
Verilog快速入门(12)—— 使用8线-3线优先编码器Ⅰ实现16线-4线优先编码器
weixin_62355917的博客
02-21 2284
使用8线-3线优先编码器实现16线-4线优先编码器请使用2片该优先编码器Ⅰ及必要的逻辑电路实现16线-4线优先编码器优先编码器Ⅰ的真值表和代码已给出。 可将优先编码器Ⅰ的代码添加到本题答案中,并例化
Proteus仿真——用两片74HC148及少量逻辑门构16线--4线优先级编译器
热门推荐
PettyKoKo
05-28 1万+
74HC148的功能表 用两片74HC148及少量逻辑门构16线--4线优先级编译器 Proteus仿真图 学习笔记,供大家参考。
使用Quartus II9.0实现用2片3-8 译码器拼接4-16 译码器
Python_banana的博客
10-16 8589
用2片3-8 译码器拼接4-16 译码器 首先我们知道74138仅有3个地址输入端A2,A1,A0。如果相对4位二进制代码译码,只能利用一个附加控制端(S1,S’2,S’3当中的一个)作为第四个地址输入端,上图给出了解决方案。 我们先建立一个project,然后新建一个Block Diagram文件双击BDF空白处,添加组件符号。如图所示 然后编译一次,新建一个vwf,矢量波形仿真文件,双击...
数电课程设计——抢答器
09-02
- **实现**:本设计采用74LS148芯片作为优先编码器,它能够将8位输入信号编码为3位二进制输出,以此来识别第一位按下抢答按钮的选手。 ##### 2. 锁存器 - **原理**:锁存器用于锁存优先编码器输出的编码结果,确保...
两片74LS148组16线4线优先编码器multisim源文件
11-26
两片74LS148组16线4线优先编码器multisim源文件,multisim10及以上版本可以正常打开仿真,是教材上的电路,可以直接仿真,方便大家学习
编码器的功能扩展
12-09
两片8线-3线优先编码器扩展16线-4线优先编码器.若高位片的输入中有低电平,则由于对应的YS=1,使得低位片输出被封锁,结果取决于高位片的输出.反之则取决于低位片的输出.   
基于FPGA的8线-3线优先编码器
10-04
采用VHDL语言编写的,基于FPGA平台的简单的8-3优先编码器完整程序,已编译通过,结果正确。
阎石 第四版部分数电答案
10-21
- **74LS148**:是一种常用的8-3线优先编码器芯片。 **例题解析**: - **4.9 优先编码器的应用实例**:题目描述了一个实际场景——医院的呼叫系统,并给出了使用74LS148编码器的具体实现方法。该题旨在展示如何...
北邮计院数电第二章——组合逻辑
私のBookShelf
12-16 3316
组合逻辑电路:指任何时刻的输出仅取决于当时刻输入信号的组合。 特点:没有存储和记忆作用,没有反馈回路 思维导图 组合逻辑分析 根据已知逻辑电路图,找出组合逻辑电路的输入与输出关系,确定在什么样的输入取值下对应的输出为1 一般过程:看图->写布尔表达式->写真值表、画波形图->指出电路的逻辑功能 (不重要)(简单) 逐级电平推导法 假定输出为某一个值,主机向前推导,直到推得输入的值 简而言之,就是看图逆推 列写布尔表达式法 写出布尔表达式进行分析 数字波形法 对所有输入变量使用波形,
小梅哥Xilinx ZYNQ学习笔记2——38译码器
m0_51430584的博客
11-08 1090
译码器(Decoder)是一种多输入多输出的组合逻辑电路,负责将二进制代码翻译为特定的对象(如逻辑电平等),功能与编码器相反。译码器一般分为通用译码器和数字显示译码器两大类。本设计的是通用译码器。三八译码器,即是 3 种输入状态翻译 8 种输出状态。1.case开始开始,endcase结束;2.数字声明时,合法的基数格式有 4 中,包括:十进制('d 或 'D),十六进制('h 或 'H),二进制('b 或 'B),八进制('o 或 'O)。数值可指明位宽,也可不指明位宽。
北邮计院数电第五章——VHDL语言
私のBookShelf
12-17 1704
PLD:用户根据需要自行设计芯片中特定逻辑电路的器件 可编程逻辑:包括硬件、软件 本章思路: PLD->FPGA、ISP->编程方法、工具 PLD的基本概念 可编程阵列 所有的PLD都是用可编程阵列组的 可编程阵列分为:与阵列、或阵列 通过编程实现SOP(与或)形式 与阵列 可编程矩阵的输出连接到与门上,称之为与阵列 为了简化逻辑表示,将与门的两条输入线画为一条,使用斜杠线边的2表示与门输入线为两条 一个N变量的与阵列,列线是2N条 或阵列 与与阵列类似,连接到了或门上 列线是2N条 可编程连
FPGA刷题P4:使用8-3优先编码器实现16-4优先编码器、 使用3-8译码器实现全减器、 实现3-8译码器、使用3-8译码器实现逻辑函数、数据选择器实现逻辑电路
居安士的博客
07-15 3491
牛客FPGA刷题:使用8-3优先编码器实现16-4优先编码器 使用3-8译码器实现全减器 实现3-8译码器 使用3-8译码器实现逻辑函数 数据选择器实现逻辑电路
请将多片8线-3线优先编码器扩展为一片16线- 4线编码器
05-21
将多片8线-3线优先编码器扩展为一片16线-4线编码器,可以通过级联两个8线-3线优先编码器来实现。具体步骤如下: 1. 将16个输入线两组,每组8个输入线,将它们分别连接到两个8线-3线优先编码器的输入端。 2. 将两个优先编码器的输出端连接在一起,形一个4位输出,其中高位来自第一个优先编码器的输出,低位来自第二个优先编码器的输出。 通过这种方式,就可以实现将多片8线-3线优先编码器扩展为一片16线-4线编码器。需要注意的是,在级联两个编码器时,需要保证第一个编码器优先级高于第二个编码器,以确保输出结果正确。
写文章

热门文章

  • SR、JK、T、D触发器图形逻辑符号、真值表及特性方程 66422
  • 移位寄存器——数电第六章学习 45563
  • 解决pycharm中代码正确,但是没有运行结果的问题 37145
  • MATLAB常用信号实现(抽样序列,阶跃序列,矩形序列,指数序列,余弦,虚指数) 30508
  • 同步时序逻辑电路分析——数电第六章学习 29205

最新评论

  • 编码器 | 如何用两片8线-3线优先编码器扩展成16线-4线——数电第四章学习

    老吃米线: 真值表和你的扩展图里 输出端的设计正好反了。

  • 多级放大电路超详细分析

    zrpiv: Ri2是不是算错了

  • 数模学习第七天---最大流问题(含MATLAB求解)

    paida_xing_: 请问博主,matlab2024a中显示找不到graphmaxflow函数怎么解决

  • 移位寄存器——数电第六章学习

    RunningBComeOn: 可惜了

  • 移位寄存器——数电第六章学习

    LI_SIYuan: 不学了

大家在看

  • Linux:进程状态和优先级 2389
  • 职称论文发表注意事项 414
  • 怎么选择专利? 115
  • 蓝易云 - PHP中各种超全局变量使用的过程深度剖析。 355
  • 模板:JDBC 连接数据库并实现 CRUD 288

最新文章

  • 考研去了明年才会更新
  • LoadImage cannot find a suitable reader for file运用MONAI框架导入数据时存在的问题
  • MRI图像N4偏置场校正原理及代码实现
2024年5篇
2023年23篇
2022年50篇
2021年20篇

目录

目录

评论 16
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

看星河的兔子

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或 充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

玻璃钢生产厂家广东卡通玻璃钢雕塑厂家玻璃钢浮雕不锈钢镂空雕塑定做玻璃钢雕塑厂家介绍马鞍山玻璃钢仿铜雕塑石家庄玻璃钢雕塑厂家江门玻璃钢雕塑现货玻璃钢花盆厂设备厂家广州商场美陈雕塑江门会发光的玻璃钢雕塑供应商石家庄哪有做玻璃钢雕塑广东卡通雕塑玻璃钢宁波玻璃钢人物雕塑玻璃钢雕塑摆件系列公司西安定做玻璃钢卡通雕塑唐山玻璃钢雕塑大象人物玻璃钢雕塑介绍陕西商场节庆美陈雕塑摆件定制有没有用玻璃钢做大型雕塑的青岛景区玻璃钢雕塑公司周口学校校园玻璃钢景观雕塑厂家九江玻璃钢雕塑制作厂家玻璃钢雕塑价格一般多少南昌玻璃钢人物雕塑定制玻璃钢人物雕塑制造商广东商场创意商业美陈策划淮南公园玻璃钢雕塑哪家便宜河北步行街玻璃钢雕塑哪家便宜潮州仿铜西式玻璃钢雕塑中牟校园玻璃钢雕塑房山区商场美陈哪家好香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声单亲妈妈陷入热恋 14岁儿子报警汪小菲曝离婚始末遭遇山火的松茸之乡雅江山火三名扑火人员牺牲系谣言何赛飞追着代拍打萧美琴窜访捷克 外交部回应卫健委通报少年有偿捐血浆16次猝死手机成瘾是影响睡眠质量重要因素高校汽车撞人致3死16伤 司机系学生315晚会后胖东来又人满为患了小米汽车超级工厂正式揭幕中国拥有亿元资产的家庭达13.3万户周杰伦一审败诉网易男孩8年未见母亲被告知被遗忘许家印被限制高消费饲养员用铁锨驱打大熊猫被辞退男子被猫抓伤后确诊“猫抓病”特朗普无法缴纳4.54亿美元罚金倪萍分享减重40斤方法联合利华开始重组张家界的山上“长”满了韩国人?张立群任西安交通大学校长杨倩无缘巴黎奥运“重生之我在北大当嫡校长”黑马情侣提车了专访95后高颜值猪保姆考生莫言也上北大硕士复试名单了网友洛杉矶偶遇贾玲专家建议不必谈骨泥色变沉迷短剧的人就像掉进了杀猪盘奥巴马现身唐宁街 黑色着装引猜测七年后宇文玥被薅头发捞上岸事业单位女子向同事水杯投不明物质凯特王妃现身!外出购物视频曝光河南驻马店通报西平中学跳楼事件王树国卸任西安交大校长 师生送别恒大被罚41.75亿到底怎么缴男子被流浪猫绊倒 投喂者赔24万房客欠租失踪 房东直发愁西双版纳热带植物园回应蜉蝣大爆发钱人豪晒法院裁定实锤抄袭外国人感慨凌晨的中国很安全胖东来员工每周单休无小长假白宫:哈马斯三号人物被杀测试车高速逃费 小米:已补缴老人退休金被冒领16年 金额超20万

玻璃钢生产厂家 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化