02小项目-led灯翻转实验

23 篇文章 2 订阅
订阅专栏

实验要求:

使用开发版自带的50MHz时钟,FPGA编码实现led灯每秒翻转一次。

39d81c2d0d1740d1a4d63a73fb4b7813.png

 

 

基本思路:

50MHz时钟,要实现一秒翻转一次,那就是每计数到一秒(计数50,000,000次)改变一次输出信号电平值;50M用二进制来存储需要用26位寄存器。

206eb04fb87c454ca23537f9bd4ba172.png

硬件设计:

正点原子启明星 zynq7010开发板的底板上有4个led灯,这里使用PL端的led0来实现;从原理图可以看出,当FPGA内部输出高电平时二极管亮。

10e6eb6c700d4ef7a0f3d7d8e8fac237.png

 

 

软件设计:

添加设计源文件

d9aad68be9e240a2b12223fc75d82e4b.png

 

添加文件名,OK,finish,OK,YES

fd7cdd95cdde4785a7b9befad3f664be.png

 

双击打开.v文件开始代码的编写

b881421126f740ee9e9b18718926a9de.png

 

 

verilog代码


module led0(
input      sys_clk,
input      sys_rst_n,
output reg pl_led0
    );
    
    reg [25:0] led_cnt;
  
    
    always @(posedge sys_clk)begin
        if(!sys_rst_n)begin
            led_cnt<=26'd0;
            pl_led0<=1'b1;
        end   
        else begin
          if(led_cnt<26'd50000000)   
            led_cnt<=led_cnt+26'd1;
          else if(led_cnt==26'd50000000)begin
            led_cnt<=26'd0;
            pl_led0<=~pl_led0;
          end  
        end
    end
    
endmodule

管脚约束文件的添加和.v文件的添加方法类似

根据原理图设置的管脚约束文件

set_property IOSTANDARD LVCMOS33 [get_ports sys_clk] 
set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n] 
set_property IOSTANDARD LVCMOS33 [get_ports pl_led0] 

set_property PACKAGE_PIN U18 [get_ports sys_clk] 
set_property PACKAGE_PIN N16 [get_ports sys_rst_n] 
set_property PACKAGE_PIN H15 [get_ports pl_led0] 

最终实现了led灯一秒翻转一次(运行了近3s,够狠)

748cbc742afa49aa85c6b423b52e21a1.png

 

 

 

02讲 | STM32单片机实现LED反转
小Y的博客
08-08 9452
cc2530实验项目-循环控制LED (基于ZigBee协议)
Mr.zhanghuadi的博客
09-05 8931
导言: 本人由于刚刚接触硬件开发,所以对这些硬件的理解没有多深入,如果有什么错误,请各位大神赐教! 项目要求: 三个全部亮2秒,灭2秒,D1亮1次灭2秒,D2亮2次,每次间隔1秒,灭2秒,D3亮3次,每次间隔1秒,灭2秒。重复循环 源代码浅析: #include <ioCC2530.h> <span style="white-space:pre"&gt...
单片机旋转led程序c语言,基于单片机POV的旋转LED程序
weixin_35698867的博客
05-19 1601
/***************************************************************************************Name:旋转LED*Version:1.0*Date:*BY:C*******************************************************************************...
旋转LED程序
07-18
需要用的是AVR单片机,用到PA、PB端口,从上到下是PB0-7PA0-7。
STM32实现LED翻转以及LED闪烁次数
最新发布
m0_48922589的博客
08-09 193
二、控制翻转的次数,实现函数void blink_LED(uint8_t num)2、实现函数void toggle_LED0(void)1、首先配置LED的IO口,如下所示。在main函数调用这两个函数就可以了。
GPIO补充——LED翻转
m0_74084142的博客
05-11 305
使用GPIO_ReadOutputDataBit读取引脚电平并实现电平翻转
STM32CubMx自学笔记(一)LED翻转
梅山
05-25 4422
STM32CubMX自学笔记(一)-LED翻转工程创建系统具体配置三级目录功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入 工程创建 首先得安装STM32CubMx软件。具体安装步骤参照 链接.这里将不再赘述,第一节主要是介绍新工
TP002-控制LED翻转.zip
05-08
【标题】"TP002-控制LED翻转"是一个关于电子技术的实践项目,主要涉及基础的硬件控制和编程。在这个项目中,我们将会学习如何通过编程来控制LED翻转,即实现LED的亮灭交替或者闪烁效果。 【描述】"TP002-...
TP005-按键控制LED翻转.zip
05-08
从文件名来看,我们可以推测这是一个关于使用微控制器通过按键来控制LED翻转项目。 `tpybcdc.inf`:这个文件可能是设备驱动程序的信息文件,用于在Windows操作系统中识别并安装一个基于Python的虚拟串行端口...
1 LED闪烁实验.zip_LED闪烁_led c8051f340
09-24
实验主要涉及的是利用C8051F340单片机来控制LED的闪烁,通过编程实现特定的闪烁模式,以直观展示单片机的输出控制能力。 首先,C8051F340是一款高性能、集成度高的微控制器,由Silicon Labs(芯科实验室)生产...
基于STM32F407定时器的LED闪烁实验
11-28
在本文中,我们将深入探讨如何使用STM32F407微控制器的定时器来实现LED闪烁实验,而非依赖于传统的delay()函数。STM32F407系列是意法半导体(STMicroelectronics)推出的高性能ARM Cortex-M4内核微控制器,广泛...
旋转LED的程序源代码
07-30
通过C编写C语言程序,单片机 uchar code table0[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//从低位往高位移一个 6 uchar code table1[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe};//从高位往低位移一个 7 8 uchar code table2[]={0xfe,0xfc,0xf8,0xf0,0xe0,0xa0,0x80,0x00};//从低位到高位逐步全部点亮 9 uchar code table3[]={0x7f,0x3f,0x1f,0x0f,0x07,0x03,0x01,0x00};//从高位到低位逐步全部点亮 10 11 uchar code table4[]={0x01,0x03,0x07,0x0f,0x1f,0x3f,0x7f,0xff};//从低位到高位逐步全部熄灭 12 uchar code table5[]={0x80,0xa0,0xe0,0xf0,0xf8,0xfa,0xfe,0xff};//从高位到低位逐步全部熄灭 13 14 uchar code table6[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80};//从低位到高位,灭一个移动 15 uchar code table7[]={0x80,0x40,0x20,0x10,0x08,0x04,0x02,0x01};//从高位到低位,灭一个移动
旋转LED,各种显示方式源代码,
09-20
旋转LED,各种显示方式源代码,亲测可用,供坛友下载学习
基于FPGA的旋转LED广告牌
11-19
本设计是旋转LED点阵广告牌,采用Altera公司Cyclone II系列的FPGA芯片CycloneIIEP2C5T144C8N作为核心器件实现对各功能模块电路的控制、同步检测、数据处理等功能。基于FPGA-CycloneII的旋转LED点阵广告牌的工作原理,在单列LED进行360度旋转时,如果将所有的发光二极管熄灭,由于视觉暂留感觉圆盘上方并没有图像,此时并在CycloneIIEP2C5T144C8N的控制下,在不同的位置在不同的时间区域让单列LED以不同的形式发光或者熄灭,从而显示出字符或者图像,并且字符或者图像给人一种悬浮在空中的感觉,具有视觉冲击力。此设计可以用于很多的场合,比如广告牌、家庭装饰、记分牌、娱乐显示等场所。
基于模型的设计——LED翻转
电力电子小栈
03-27 2034
Dsp28335嵌入式代码生成,控制LED环境说明:matlab2017b和CCS7.4整体仿真图如下图所示,这里主要说明的用matlab生成dsp28335代码的环境配置问题下面代开仿真环境的配置第一步:首先配置硬件,选择28335的板子,因为这次实验主要用GPIO功能,所以下面的外设用不到,只需确认时钟是否正确第二步:配置代码生成,将编译工具连接选择C2000系列第三步:我们想将led翻转...
ESP32:LED翻转
2301_79694655的博客
04-17 171
LED.value(sta) //LED翻转
10.80c51外部中断 按键翻转LED
nanshenya的博客
11-23 2956
外部中断0 在我理解,外部中断要用的话,首先得开启总中断开关即(EA=1),而后打开外部中断允许(即EX0=1),最后选择外部中断触发方式(IT0,为0则为低电平触发,为1则为下降沿触发),以下为外部中断0的实现按键按下LED状态翻转的作用。 外部中断0实现代码如下(已注释) #include"reg52.h" typedef unsigned char u8; typedef unsigned int u16; sbit k3=P3^2;//开始以为随便一个按键都有用,没用后发现得把按键接在中断
FPGA——LED闪烁10次
electron的博客
03-03 3217
LED闪烁10次 基本框架是基于用Verilog编写的定时器,每0.5s计数满,cnt_flag置位,LED翻转一次,那么如果要求只闪烁10次,就需要一个计数变量count,这里采用的方法是,每次cnt_flag置位的时候,都让count加一,因为需要闪烁10次,也就是有10个LED周期,那么就需要翻转20次,所以需要一个5位的计数count。 module flash_ten #( parameter CNT_MAX = 25'd24_999_999 ) ( input wire sys_clk
单片机LED闪烁实验报告-设计与实现详解
//LED翻转 } } } ``` 通过以上代码,我们成功实现了LED每隔0.5秒闪烁一次的效果。实验结果表明,单片机能够有效地控制外部设备,实现我们设定的功能。通过这次实验,我们对单片机的应用有了更深入的了解,为...
写文章

热门文章

  • 第十三讲 测试用例编写方法 6366
  • C语言学习第一天----如何新建一个工程 5928
  • 第九讲 软件测试面试题一 5858
  • 软件测试学习路线 4932
  • 20、vivado编译报错合集 3997

分类专栏

  • vivado 23篇
  • PCB 34篇
  • 笔记 3篇
  • linux基础 21篇
  • 软件测试 19篇
  • C-code 5篇
  • 嵌入式软件开发笔试
  • 十大排序算法 3篇

最新评论

  • 4、制作通孔焊盘

    m0_57353297: 这里的w和h为啥是0.4啊

  • 11、显示封装信息

    qq_58810091: 双击没有反应啊

  • 16、XDC引脚约束

    Lontano。: 您好,想问一下,第4点中例化路径是怎么写的?有什么规范嘛?

  • 09 fifo

    bunnydou: 请问下为什么必须要使用复位,如果不使用,会怎么样呢?

  • 第九讲 软件测试面试题一

    叮当陈: 你第二题写错了,你的是缺陷报告主要有哪些元素,题目是测试用例主要有哪些元素

大家在看

  • 苏联航天机械导航计算机内部概览 | 联盟号飞船内部时钟电路 497
  • SIGIR2024 best short paper!RAG中如何评估检索质量
  • 【机器学习】--- 决策树与随机森林 803
  • C++--C++11(下) 798
  • JAVA基础:集合的特点,List,Set和Map集合的使用

最新文章

  • 24、xilinx zynq启动流程
  • 23、基于BRAM的PS-PL数据交互
  • 22、AXI_DMA接口的bd文件配置
2024年3篇
2023年9篇
2022年46篇
2021年51篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

玻璃钢生产厂家香港商场美陈主题德州玻璃钢卡通雕塑定做厂家怀柔玻璃钢卡通雕塑浙江景区玻璃钢雕塑批发云浮商场美陈雕塑厂家庆阳玻璃钢雕塑厂家上海玻璃钢雕塑摆件批发吉林步行街玻璃钢雕塑价位郑州玻璃钢雕塑报价玻璃钢仿铜人物雕塑模型厂家甘南景区玻璃钢雕塑安装白银卡通玻璃钢雕塑设计和田气球商场美陈装饰联系方式广西玻璃钢花盆厂家玻璃钢雕塑壁纸简约北京艺术商场美陈销售公司石首玻璃钢头像雕塑焦作仿古玻璃钢景观雕塑公司邵阳校园玻璃钢雕塑厂家聊城小区玻璃钢雕塑安装浙江创意玻璃钢雕塑市场大象玻璃钢雕塑宁波泡沫玻璃钢雕塑宜都玻璃钢花盆花器贵阳玻璃钢景观雕塑栩栩如生玻璃钢雕塑中式均安历史玻璃钢人物雕塑本溪玻璃钢雕塑定制越秀玻璃钢造型雕塑重庆玻璃钢雕塑多少钱香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声单亲妈妈陷入热恋 14岁儿子报警汪小菲曝离婚始末遭遇山火的松茸之乡雅江山火三名扑火人员牺牲系谣言何赛飞追着代拍打萧美琴窜访捷克 外交部回应卫健委通报少年有偿捐血浆16次猝死手机成瘾是影响睡眠质量重要因素高校汽车撞人致3死16伤 司机系学生315晚会后胖东来又人满为患了小米汽车超级工厂正式揭幕中国拥有亿元资产的家庭达13.3万户周杰伦一审败诉网易男孩8年未见母亲被告知被遗忘许家印被限制高消费饲养员用铁锨驱打大熊猫被辞退男子被猫抓伤后确诊“猫抓病”特朗普无法缴纳4.54亿美元罚金倪萍分享减重40斤方法联合利华开始重组张家界的山上“长”满了韩国人?张立群任西安交通大学校长杨倩无缘巴黎奥运“重生之我在北大当嫡校长”黑马情侣提车了专访95后高颜值猪保姆考生莫言也上北大硕士复试名单了网友洛杉矶偶遇贾玲专家建议不必谈骨泥色变沉迷短剧的人就像掉进了杀猪盘奥巴马现身唐宁街 黑色着装引猜测七年后宇文玥被薅头发捞上岸事业单位女子向同事水杯投不明物质凯特王妃现身!外出购物视频曝光河南驻马店通报西平中学跳楼事件王树国卸任西安交大校长 师生送别恒大被罚41.75亿到底怎么缴男子被流浪猫绊倒 投喂者赔24万房客欠租失踪 房东直发愁西双版纳热带植物园回应蜉蝣大爆发钱人豪晒法院裁定实锤抄袭外国人感慨凌晨的中国很安全胖东来员工每周单休无小长假白宫:哈马斯三号人物被杀测试车高速逃费 小米:已补缴老人退休金被冒领16年 金额超20万

玻璃钢生产厂家 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化