IC常用基础知识1-setup time和hold time 总结

28 篇文章 176 订阅
订阅专栏

1. 静态时序分析与动态时序分析

静态时序分析:STA,主要目的是为提高系统工作主频以及增加系统的稳定性。我们用软件在综合和实现之后的timing analysis都是静态时序分析。
动态时序分析:DTA,主要目的是在验证器件在实际延时情况下的逻辑功能。通常就是我们所说的仿真。
比较:STA不需要输入向量就能穷尽所有的路径,运行速度快,占用内存小。不仅可以对芯片设计进行全面的时序功能检查,还可以利用时序分析的结果来优化设计。DTA的优点是结果精确,并且适用于更多的设计类型;缺点是速度慢,并且可能会遗漏一些关键路径。

2. 同步设计中的建立时间和保持时间

  1. 建立时间(Tsu)
    建立时间指的是在时钟沿到来之前数据从不稳定到稳定所需的时间,如果建立时间不满足要求那么数据将不能在这个时钟上升沿被稳定的打入触发器
  2. 保持时间(Th)
    保持时间是指在时钟沿到来之后数据稳定保持的时间
  3. 输出响应时间(Tco),Tclk->Q
    触发器输出的响应时间,也就是触发器的输出在clk时钟上升沿到来之后多长的时间内发生变化,也即触发器的输出延时。

3. 时钟相关的概念

3.1. 时钟偏移(skew)

skew:时钟信号到达相邻两个时序单元的时间差就叫做时钟偏移,Tskew = Tc2 - Tc1 ,Tskew为正时,有利于setup满足条件。
image.png

  1. 如何减小时钟偏移
    采用全铜工艺和树状结构,并且设计了专用的时钟缓冲和驱动网络,这么做的目的就是尽量使时钟到达不同时序单元的路径一样长,从而使时钟偏移非常小,可以忽略不计。总的来说,Skew 问题的解决方法就是:设计中的主要信号应该走全局时钟网络。

3.2. 时钟抖动(jitter)

  1. 周期抖动 period Jitter:实际时钟信号周期与理想时钟周期的差值的变化。这是最早最直接的一种衡量抖动的方式,这个指标说明了时钟信号每个周期的变化.
  2. 因为这个差值是个随机变量,并且满足高斯分布,所以可以用期望和方差来描述。一般随机选择很多个周期,然后计算平均周期、标准差、峰峰值。标准差称为 “RMS 抖动”,峰峰值称为 “Pk-Pk 周期抖动”。知道 Pk-Pk 周期抖动,对于恰当配置系统和保持时间很有用。
  3. 周期差抖动:cycle-to-cycle jitter 两个相邻时钟周期的差值的变化。根据定义可知,对周期抖动做一阶差分,就可以得到周期差抖动。
  4. 相位抖动:Phase Jitter 一个时钟沿相对于基准对齐之后,经过一段时间后,与理想位置的偏差。这个指标说明了周期抖动在各个时期的累计效应。
  5. 由于 周期抖动 和 周期差抖动 是单个周期或者相邻周期的偏差,所以表征为短期抖动行为。而相位抖动需要累积一段时间,所以表征为长期抖动行为。时钟抖动的原因就是噪声。相位抖动因为需要累积一段时间,所以这个误差又称为 时间间隔误差(TIE, Timer Interval Error)。

3.3 占空比(Duty Cycle Distortion)

占空比失真,即时钟不对称,有脉冲的时间和无脉冲的时间发生了变化。DCD 会吞噬大量的时序裕量,造成数字信号的失真,使过零区间偏离理想的位置。DCD通常是由信号的上升沿和下降沿之间时序不同而造成的。

4. 异步信号中的恢复时间和撤销时间

  1. 恢复时间 recovery time : 对于异步信号(比如异步复位/置位),信号变无效的边沿和下一个时钟沿之间必须满足一个最小的间隔。其意义在于,如果保证不了这个最小时间,也就是异步信号无效边离时钟边沿太近了,异步信号解除(无效)之后,没有给 DFF 足够的时间来恢复(recovery)到正常状态,那么就不能保证在时钟沿到来时 DFF 可以正常工作。
  2. 撤销时间 removal time : 对于异步信号(比如异步复位/置位),信号变有效的边沿前一个时钟沿之间必须满足一个最小的间隔。其意义在于,如果保证不了这个最小时间,也就是异步信号的有效沿离时钟太近了,在时钟信号去除(无效)之前,异步信号提前有效了,可能会造成 DFF 处于不确定状态。

5. Timing path

  1. 起点有两种:
  • 时序器件的时钟输入端
  • 电路的 输入端口
  1. 终点也有两种:
  • 时序器件的 数据输入端
  • 电路的 输出端口
  1. 输入和输出排列组合一共就有 4 种 path:
  • 电路输入端口 -> 触发器的数据D端 (Pad-to-Setup)
  • 触发器的clk端 -> 触发器的数据D端 (Clock-to-Setup)
  • 触发器的clk端 -> 电路输出端口 (Clock-to-Pad)
  • 电路输入端口 -> 电路输出端口 (Pad-to-Pad)
    image.png
  1. 关键路径(critical path)
    关键路径:从输入到输出,延时最大的那条路径称为 critical path。关键路径是系统中延时最大的路径,它决定了系统所能达到的最大时钟频率。

6. 到达时间和需求时间

  1. 到达时间:arrival time 信号到达某个特定位置所消耗的时间。一般将时钟信号到达的时刻作为参考的 0 时刻,为了计算到达时间,需要对路径中的所有组件的延时都进行计算。
  2. 需求时间:required time 所能容忍的路径最大延时,也就是信号到达的最晚的时间。如果路径上的延时再大一些,则必须降低时钟频率,否则会产生 setup/hold time violation。
  3. 时间裕量:slack 。slack = required time - arrival time。如果计算出某条路径的 slack 是正数,说明这条路径的时延是满足要求的;如果计算出某条路径的 slack 是负数,则表示路径上的延时太大了,必须做出修改(修改设计 or 修改约束 or 换芯片),否则包含它的电路不能以预期的频率工作。

7. launch和capture edge

  1. Launch edge是指时钟通过触发器来发射数据的时钟沿edge
  2. Capture edge是指时钟通过触发器捕获数据的沿edge
    image.png
    [Tlaunch + Tck2q + Tdp] <= [Tskew + TCLK – Tsetup]
    其中Tck2q也就是Tco, Tdq也就是Tcomb.
    [Tlaunch + Tck2q + Tdp] >= [Tskew + Thold]
    其中skew = Tclk2-Tclk1;当skew为正时,有利于减小Tclk,提高电路频率

8.setup time和hold time时序图

Screenshot from 2019-09-02 21-07-29.png
时序图如下:
image.png
数字系统设计常见的电路图,这里需要保证数据能够正确的在这两个触发器上进行传输,由此确定中间组合逻辑电路的传输延时的范围。
image.png
Tcomb: 组合逻辑电路的传输延时
2、第二个触发器要满足建立时间的约束条件
image.png
在第一个时钟上升沿,前边的触发器采集D1信号,将高电平打入触发器,经过Tco的触发器输出延时到达组合逻辑电路。又经过组合逻辑电路的延时Tcomb(我们假定组合逻辑电路此时没有改变信号的高低,可以把它假定为一个缓冲器)送到了D2接口上。在第二个时钟上升沿到来之前,D2数据线上的信号要满足稳定时间>触发器的建立时间Tsu。
image.png
3、第二个触发器要满足保持时间的约束条件
image.png
时序解释:

接着之前的时序图继续,在第二个时钟上升沿前边触发器采集到D1上的低电平,经过Tco的延时在Q1上得到表达。这个低电平在经过组合电路延时Tcomb到达D2。现在的问题是经过这么Tco+Tcomb的延时,D2上原本的高电平在第二个时钟上升沿到来之后的稳定时间 > 第二个触发器的保持时间。满足了这个条件,后边的触发器才能稳定的接收到最初由D1传过来的高电平。
image.png
4、问题的答案

我们得到中间组合逻辑电路的输出延时范围为:

(Tclk - Tco-max - Tsu) > Tcomb > (Th - Tco-min)

9. 另一种表达方式

image.png

image.png

image.png
image.png

参考链接:
https://wenku.baidu.com/view/8c53f9105f0e7cd18425364c.html

image.png

10. 相关问题

小结:

  1. 如果有时钟抖动的情况,那么我们知道时钟抖动肯定是对电路不友好的,所以我们会导致我们的时序不满足,电路可以正常运行的频率降低。因此会导致我们的时钟周期变大,因此在等式左边。而Tskew有利与建立时间满足条件,可以提高运行频率,因此在等式的右边 Tskew = Tcapture - Tlatch
    Tco+Tcomb+Tsetup+Tjitter <= Tclk + Tskew
  2. 一般我们求电路的最高工作频率,直接带入式子求Tclk,还有一种问题是求一个电路的建立时间和保持时间是多少,这种问题一般直接正向求解,观察时钟沿和数据哪个先到,如果时钟先到,那么setup就要减少。hold time增加。Tsetup_vld = Tsetup - (T_cap-Tcomb) ,Thold_vld =Thold + (T_cap-Tcomb),我们可以另Tskew=(T_cap-Tcomb),注意这只是为了方便记忆,真正的Tskew=Tclk2-Tclk1

10.1问题1

下图是某电路的一条关键路径,其中路径各参数如下:
Tco = 1ns,Tsu = 2ns,Thold = 1ns,Tlogic_max = 4ns, Tlogic_min = 3ns, Jitter = 2ns。求算出最小时钟周期。
image.png
根据公式Tco+Tcomb+Tsetup+Tjitter <= Tclk + Tcapture,得
1+4+2+2 <= Tclk + 1; Tclk >= 8ns

10.2 问题2

image.png
根据公式Tco+Tcomb+Tsetup+Tjitter <= Tclk + Tskew得
Tclk+T5 <= T1 +T2+T3+T4+Tsetup;
根据公式Tco+Tcomb >= Thold + Tskew,得
T1+T2+T3+T4>=Thold+T5
因此答案选4

10.3 问题3

image.png
一个触发器自身的反馈,我们可以认为Tskew为0,因为是同一个触发器,所以时钟到达触发器的时间一致。
根据公式Tco+Tcomb+Tsetup <= Tclk + Tskew得
Tco = 6ns, Tcomb为INV_2的时延2ns,Tsetup=2ns ,Tskew = 0
因此 Tclk >= 6+2+2=10ns,最高工作频率为100Mhz

10.4 问题4

将框内的电路作为一个寄存器,那么其有效的建立时间和保持时间是多少?
image.png

  1. 对于D触发器而言,其本身的建立时间是2ns,也就是说数据必须在时钟有效沿到达之前2ns保持稳定,这样到达D端后就一定是稳定的数据了。
  2. 时钟CLK要早于触发器的时钟1ns到达,因此对于D触发器建立时间的满足是有害的,电路有效建立时间
    Tsetup_valid = Tsetup - 1ns = 1ns(因为数据需要提前1ns稳定下来)
  3. 考虑数据路径延迟影响:Tsetup_valid = Tsetup - 1ns + 2ns = 3ns;(经过组合逻辑后的数据需要在时钟有效沿之前Tsetup时间稳定下来)
  4. 考虑到电路时钟对于触发器时钟早到1ns,所以电路有效保持时间Thold_valid = Thold + 1ns = 3ns;考虑路径延迟影响:数据需要经过一段组合逻辑之后才能保持稳定,因此电路的有效保持时间为:
    Thold_valid = Thold + 1ns - 2ns = 1ns。

10.5 问题5

image.png
Tsetup_vld = Tset_up - Tskew’ = 2ns-(-0.90ns) ;Thold_vld = Thold +Tskew’ = 1.5+(-0.9)=0.6ns
还有一种计算方式,根据定义来计算
根据两根时间轴,其中需要注意的是,Tsetup是时钟沿到来之前数据需要稳定的时间,因此data的起点为0。Thold是时钟沿到来之后数据需要稳定的时间,因此clk的起点为0
image.png

10.6 问题6

image.png
这个题目让求setup time margin,意思大概就是建立时间裕量,就是系统周期减去Tco,Tgate以及Tsu之后还可以有多少裕量,那,Tco,Tgate以及Tsu当然要用最大的来代入,因为要保证系统在最恶劣的情况下,能有多少裕量。
根据公式 Tlanch+Tco+Tcomb+Tsetup+Tmargin <= Tclk+Tcap,因为裕量是小于一个最小值的,所以前面几个参数都用最大值。0.2+0.65+0.35+0.45+Tmargin <= 10+0.6 ,Tmargin <= 8.95ns。
###问题7
image.png
根据公式Tclk+Tcap >= Tco+Tcomb+Tsetup代入得 Tclk+2>= 2+5+3 因此Tclk >= 8ns,最高工作频率为125MHz
根据公式Tco+Tcomb >= Thold+Tcap得2+5>=6+2不满足,因此存在hold vloation。可以通过增加组合逻辑的时延或者减少时钟路径的时延

11 . 时序违约该怎么办

setup vilation:主要是因为关键路径的时延过大,造成setup time违约。
解决方案:

  1. 复制寄存器
  2. 插入寄存器
  3. 降低频率
  4. 优化关键路径

##11. 选择题
芯片测试过程中,发现Hold时序有问题,用什么方法有可能可以继续测试芯片的功能A
A. 降低电压
B. 降低温度
C. 降低系统时钟频率
D. 提高系统时钟频率
setup time是关键路径时延大造成的,hold time是关键路径时延小造成的。对于电压,升压电流大时延小,降压电流小时延大。温度的影响与工艺相关,对于40nm以下的工艺(先进工艺),升温使得vth显著降低,电流增大,时延减少。

参考链接:
https://blog.csdn.net/Reborn_Lee/article/details/100049997

FPGA/IC 秋招笔试/面试题总结
Crazzy_M的博客
08-25 4万+
文章目录一、FPGA内部资源1. 什么是FPGA2.FPGA内部资源二、同步时钟、同步/异步电路1.同步时钟2.同步/异步电路三、同步复位/异步复位1.同步复位2. 异步复位3. 异步复位同步释放四、同步FIFO/异步FIFO1.同步FIFO2.异步FIFO五、FIFO最小深度计算1.FIFO最小深度2.示例分析六、逻辑电平1.TTL电平标准2.LVTTL电平标准3.CMOS电平标准4.LVCOMS电平标准5.RS2326.RS4857.ECL、PECL、LVPECL8.LVDS9.CML10.GTL11
【Verilog基础】如何进行时序分析(STA),个人理解的方法总结(含如何阅读时序报告)
悟已往之不谏 知来者之可追
03-24 2191
如何进行时序分析,个人理解的方法总结
STA新视角之Setup TimeHold Time
fttftt1426的博客
06-09 3300
register-to-register模型 图表 1‑1 register-to-register模型 图表 1‑1是一个常见的register-to-register的模型。CLK是源寄存器(Source)和目的寄存器(Destination)的时钟源头,在SDC中一般用create_clock/create_generated_clock定义。 A点表示CLK的出口,B点表示源寄存器的CK端,C表示目的寄存器的CK端,D表示源寄存器的Q端,E表示目的寄存器的D端。在实际的电路实现(FPGA/AS.
Setup timeHold time
qq_43738791的博客
11-30 5334
Data Arrival time和Data Required Time是以Data的路径为基础,以时钟为参考。Data Arrival time 和 Data Required Time所表示的不是一段时间,而是时间上的点。顾名思义,前者是Data实际到达的时间点,后者Data需求(保持或者有效)的时间点。正如之前所说,Data Required Time是Data需求(保持或者有效)的时间点,所以要减去。同理,Data Required Time是Data需求(保持或者有效)的时间点,所以要加上。
简述建立时间(setup time) 和 保持时间(hold time
热门推荐
weixin_52487896的博客
07-04 1万+
建立时间和保持时间都是基于触发器而言,所以在了解建立时间和保持时间之前,需要对触发器进行分析,本文从D触发器(D-FF)结构原理上进行简述建立时间和保持时间,因为FPGA内部常用D触发器作为时序逻辑电路的记忆元件。......
setup timehold time
weixin_44378800的博客
04-20 6848
数字IC中的setup timehold time
静态时序分析之建立时间setup time和保持时间hold time
linpeng_9527的博客
05-21 1万+
1、概念: 建立时间(Tsu:set up time)     是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被稳定的打入触发器,Tsu就是指这个最小的稳定时间。 保持时间(Th:hold time)     是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间,如果保持时间不够,数据同样不能被稳定的打入触发器,Th就是指这个最小的保持时间。 触发器延迟时间(Tco:CLK-to-Q dealy of FF)    触发器输出的响应时间
建立与保持时间
最新发布
qq_42023732的博客
09-13 199
转载自 建立时间(setup time)和保持时间(hold time)详析 - 知乎
《每日一题》NO.7:为什么DFF有Setup timeHold time的要求
Eecourse的博客
12-13 1308
每日一题,快来解答吧~
笔试面试知识点归纳(FPGA、IC前端、芯片岗)
04-29
时序逻辑电路的基础包括建立时间(setup time)、保持时间(hold time)等概念。建立时间指的是在时钟信号的触发边沿到来之前,数据输入必须保持稳定的时间;保持时间是指时钟边沿后数据必须保持稳定的时间。 ### ...
数字IC验证:电路基础知识(数字IC、SOC等)
IC Beginner的博客
08-12 6576
文章目录0 SOC结构1 数字IC设计的流程1.1 逻辑综合的流程2 电路基础3 Verilog基础4 时序分析4.1 亚稳态4.2 建立时间与保持时间4.3 复位4.4 跨时钟域5 计算机体系架构/SOC5.1 CPU的基本结构5.2 Cache的作用5.3 AMBA总线协议5.4 通信协议6 FIFO7 SV基础8 UVM基础9 验证通识/思想10 低功耗 写在前面: 本文主要为笔者准备数字IC秋招时学习的一些技术问题。主要意向岗位是数字IC验证。希望对大家有帮助,共同进步。也希望自己能拿到一个满意的
FPGA建立时间(setup time)&保持时间(hold time)&竞争和冒险&毛刺
weixin_30258901的博客
10-18 680
建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间, 如果保持时间不够,数据同样不能被打入触发器。 如图1。 数据稳定传输必须满足建立和保持时间的要求,当然在一些情况下,建立时间和保持时间的值可以为零。 PLD/F...
一篇关于setup timehold time的问答
highball的专栏
12-04 6550
Q: Can any body tell me 1) What causes HOLDVIOLATIONS in DESIGN. 2) How it effects DESIGN. 3) What changes need to be done to make DESIGN work.A1:A synchronous design uses FF devices. A FF device needs its data to be valid before and after rising
数字IC秋招面试专题(一)setup timehold time
sz_woshishazi的博客
06-30 2265
数字IC秋招面试专题(一)setup time推导hold time推导 想必在数字IC笔试和面试中总是会考到setup timehold time的知识,博主之前理解的不是很深刻,虽然回答的上,但是老是会忘,希望通过这次总结,彻底理解。 先字面理解一下setup timehold time setup time:建立时间 hold time: 保持时间 在DFF中,数据需要保证在建立时间前到达,在保持时间后才能更新,否则DFF会发生亚稳态(这里证明亚稳态并非只在异步电路中出现) 先看一下基本分.
关于setup timehold time的一个总结
weixin_30907523的博客
06-20 889
对于D触发器,有3个重要相关参数,即setup timehold time 和最坏情况下的传输延时tc-q。 setup time 即在时钟翻转之前数据输入(D)必须有效的时间。 hold time 即在时钟边沿之后数据输入必须仍然有效的时间。 假设建立时间和维持时间都满足,那么输入端D处的数据则在最坏情况下的传播延时tc-q(相对于时钟边沿)之后被复制到输出端Q。 在同步时序电路中,...
setup time and hold time
i qingcai
01-04 698
建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间, 如果保持时间不够,数据同样不能被打入触发器。
IC设计时序分析总结setup,hold,CDC)
weixin_61092302的博客
05-29 1339
IC设计中,时序逻辑因为能够记忆且实现流水线操作所以成为芯片运行的基础,芯片设计中最重要的是保证寄存器采集到正确的数据,也就是满足setuphold time,不满足时会导致亚稳态,即寄存器传递出去的数据是错误的,且更严重的是亚稳态由于驱动不足,会导致下下级寄存器也出现亚稳态,类似于多米诺骨牌效应导致整个芯片大面积崩溃。满足时序要求主要分为两种情况:同步和异步。
建立时间setup time/保持时间 hold time
lin200753的专栏
05-29 1万+
理解这两个时间,对看数据手册和采用GPIO模拟一些ukn
setup timehold time的计算
sddhyl的博客
04-16 6356
内容仅仅为了方便记忆,与原理无关
setup timehold time 图示
03-17
setup timehold time 是在时序图中常用来表示触发器和门电路的概念。 setup time 表示在触发信号到达之前,输入信号需要稳定的时间。 hold time 则表示在触发信号离开之后,输入信号需要继续稳定的时间。 图示中可以用线来表示setup timehold time,其中红线表示setup time,蓝线表示hold time
写文章

热门文章

  • IC常用知识4-静态功耗和动态功耗 31796
  • IC常用基础知识1-setup time和hold time 总结 30016
  • xdma使用小结 22593
  • 同步FIFO和异步FIFO总结 19539
  • IC基础知识3-输入阻抗和输出阻抗 10526

分类专栏

  • Vivado使用
  • CPU 3篇
  • IC基础知识 28篇
  • IC面试
  • 通信协议 7篇
  • verilog 编程题 5篇
  • python 6篇
  • RISC-V 2篇
  • 每日一题 27篇
  • 软件使用 5篇
  • FPGA 3篇
  • #uvm 4篇
  • personal

最新评论

  • 同步FIFO和异步FIFO总结

    m0_74152643: 为什么读指针要转两次gray

  • windows下modelsim调用DPI仿真C

    m0_64949189: 大佬,我遇到了问题5,但是没找到gcc4.5.0版本啊,请问你有吗

  • 每日一题-3.28-sv

    初学 小 白: 好用,理解了

  • 计算机算术2-整数加减法(通用)

    sisiuu: 博主问下,这个是什么书里面的呀?

  • IC常用知识4-静态功耗和动态功耗

    ICC6: Ppeak实际项目中是动态功耗的峰值功耗,是瞬态功耗,leakage才是泄露功耗

最新文章

  • 计算机算术3-整数加减法(前缀加法器)
  • 计算机算术2-整数加减法(通用)
  • 计算机算术1 - 数据表示
2021年28篇
2020年60篇
2019年10篇

目录

目录

评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

玻璃钢生产厂家农庄山羊玻璃钢雕塑长宁区通用玻璃钢雕塑优选企业上海超市商场美陈供货商山东玻璃钢罗马柱雕塑六角玻璃钢花盆模具价格重庆玻璃钢迎宾人物雕塑大连玻璃钢雕塑常用模具山东户外玻璃钢雕塑优势南通玻璃钢雕塑设计公司河北玻璃钢商场美陈呈贡生产玻璃钢雕塑厂家哪家好河南雕塑玻璃钢雕塑定制阳江玻璃钢座椅雕塑多少钱武汉镜面不锈钢玻璃钢彩绘雕塑泰安小品系列玻璃钢雕塑安装曲阳玻璃钢花盆图片承德玻璃钢人物雕塑价格舟山玻璃钢雕塑市场直销玻璃钢雕塑厂家服务至上杭州玻璃钢景观雕塑制作江苏玻璃钢海豚雕塑艺术摆件商场美陈保险内蒙古玻璃钢雕塑设计公司锦州玻璃钢商场美陈淄博玻璃钢卡通雕塑厂家直销重庆市玻璃钢雕塑找哪家定制人物雕塑玻璃钢玻璃钢花盆系列商场美陈是什么样的嘉兴玻璃钢雕塑订做价格香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声单亲妈妈陷入热恋 14岁儿子报警汪小菲曝离婚始末遭遇山火的松茸之乡雅江山火三名扑火人员牺牲系谣言何赛飞追着代拍打萧美琴窜访捷克 外交部回应卫健委通报少年有偿捐血浆16次猝死手机成瘾是影响睡眠质量重要因素高校汽车撞人致3死16伤 司机系学生315晚会后胖东来又人满为患了小米汽车超级工厂正式揭幕中国拥有亿元资产的家庭达13.3万户周杰伦一审败诉网易男孩8年未见母亲被告知被遗忘许家印被限制高消费饲养员用铁锨驱打大熊猫被辞退男子被猫抓伤后确诊“猫抓病”特朗普无法缴纳4.54亿美元罚金倪萍分享减重40斤方法联合利华开始重组张家界的山上“长”满了韩国人?张立群任西安交通大学校长杨倩无缘巴黎奥运“重生之我在北大当嫡校长”黑马情侣提车了专访95后高颜值猪保姆考生莫言也上北大硕士复试名单了网友洛杉矶偶遇贾玲专家建议不必谈骨泥色变沉迷短剧的人就像掉进了杀猪盘奥巴马现身唐宁街 黑色着装引猜测七年后宇文玥被薅头发捞上岸事业单位女子向同事水杯投不明物质凯特王妃现身!外出购物视频曝光河南驻马店通报西平中学跳楼事件王树国卸任西安交大校长 师生送别恒大被罚41.75亿到底怎么缴男子被流浪猫绊倒 投喂者赔24万房客欠租失踪 房东直发愁西双版纳热带植物园回应蜉蝣大爆发钱人豪晒法院裁定实锤抄袭外国人感慨凌晨的中国很安全胖东来员工每周单休无小长假白宫:哈马斯三号人物被杀测试车高速逃费 小米:已补缴老人退休金被冒领16年 金额超20万

玻璃钢生产厂家 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化