Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

10 篇文章 12 订阅
订阅专栏

Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

74HC151的仿真

设计思路

采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可。在这里插入图片描述

代码实现

设计模块
//filename:_74HC151v
module _74HC151(
input E,
input [2:0] S,
input [7:0] D,
output reg Y,
output Y1);   
/*
输入输出端口说明:
输入端口:S为选择输入端,E使能端。
输出端口:Y为输出,Y1为Y取反
*/
always@(*)
begin
	//E高电平时无效。
    if(E)    Y=0;
    else begin
	case(S)
	    3'b000:Y=D[0];
	    3'b001:Y=D[1];
	    3'b010:Y=D[2];
	    3'b011:Y=D[3];
	    3'b100:Y=D[4];
	    3'b101:Y=D[5];
	    3'b110:Y=D[6];
	    3'b111:Y=D[7];    //根据输入S选择数据
	endcase
    end
end
assign Y1=~Y;   //输出变量有两个端子,Y1为Y取反
endmodule

测试模块
//filename:tb_74X138
//filename:tb_74HC151.v
`timescale 10ns/1ns
module tb_74HC151();
reg E;
reg [2:0] S;
reg [7:0] D;
wire Y;
wire Y1;

_74HC151 U0(E,S,D,Y,Y1);//元件实例化。
initial
	$monitor($time,"\tE=%b,S=%b,D=%b,Y=%B,Y1=%b",E,S,D,Y,Y1);//监视器监视。
initial  begin
	E=1;S=3'b000;D=8'b1111_0000;
	#5;
	E=0;S=3'b000;D=8'b1111_0000;
	#5;
	E=1;S=3'b111;D=8'b1111_0000;
	#5;
	E=0;S=3'b111;D=8'b1111_0000;
	#5;
	$stop;
end
endmodule

仿真

在这里插入图片描述
在这里插入图片描述

用74LS151构成16选一数据选择器

设计思路

74LS属于TTL类型的集成电路,而74HC属于CMOS集成电路。性能上面有差异,但是功能表相同,所以级联时用到的单元可以用前面的模型。书上给出了了两片74HC151的级联,我们可以根据原理图进行行为级建模
在这里插入图片描述

代码实现

设计模块
//filename:_74HC151v
module _74HC151(
input E,
input [2:0] S,
input [7:0] D,
output reg Y,
output Y1);   
/*
输入输出端口说明:
输入端口:S为选择输入端,E使能端。D为八个输入信号。
输出端口:Y为输出,Y1为Y取反
*/
always@(*)
begin
	//E高电平时无效。
    if(E)    Y=0;
    else begin
	case(S)
	    3'b000:Y=D[0];
	    3'b001:Y=D[1];
	    3'b010:Y=D[2];
	    3'b011:Y=D[3];
	    3'b100:Y=D[4];
	    3'b101:Y=D[5];
	    3'b110:Y=D[6];
	    3'b111:Y=D[7];    //根据输入S选择数据
	endcase
    end
end
assign Y1=~Y;   //输出变量有两个端子,Y1为Y取反
endmodule

module _16to1(
input E,
input [2:0] S,
input [7:0] D0,D1,
output L,
output L1);
/*
输入输出端口说明:
输入端口:S为选择输入端,E使能端。D0,D1为八个输入信号。
输出端口:L为输出,L1为Y取反
*/
wire Y0,Y1,Y2,Y3;
wire E1;
assign E1=~E;  //当E=1时 U0被禁止,U1工作;当E=0时,U0工作,U1被禁止

_74HC151 U0(E,S,D0,Y0,Y1);//元件实例化。
_74HC151 U1(E1,S,D1,Y2,Y3);//元件实例

or (L,Y0,Y2);
and (L1,Y1,Y3);  //门及描述。
endmodule
测试模块
//filename:tb_74HC151.v
`timescale 10ns/1ns
module tb_16to1();
reg E;
reg [2:0] S;
reg [7:0] D0,D1;
wire L;
wire L1;

_16to1 U(E,S,D0,D1,L,L1);//元件实例化。
initial
	$monitor($time,"\tE=%b,S=%b,D0=%b,D1=%b,L=%B,L1=%b",E,S,D0,D1,L,L1);//监视器监视。
initial  begin
	E=1;S=3'b000;D0=8'b1111_0000;D1=8'b0000_1111;//使能端无效。
	#5;
	E=0;S=3'b000;D0=8'b1111_0000;D1=8'b0000_1111;    //使能端有效。
	#5;
	E=1;S=3'b111;D0=8'b1111_0000;D1=8'b0000_1111;//使能端无效。
	#5;
	E=0;S=3'b111;D0=8'b1111_0000;D1=8'b0000_1111;//使能端有效。
	#5;
	$stop;//停止仿真。
end
endmodule

仿真

在这里插入图片描述
在这里插入图片描述

八选数据选择器
林洋洋博客
10-19 6万+
文件名必须与VHDL文件中的设计实体名保持一致。 总结:这次课程设计虽然在功能上完全实现了课题的要求,即实现了八选数据选择器的全部要求,但是相应的不足之处还应该考虑到。怎样更好地布局以及连线,使整个电路图不至于杂乱无章等等问题,虽然是细节问题,但往往可以决定成败,这些问题都应该引以为戒并作为以后设计相关电路时的参考。
(1)FPGA仿真——二数据选择器
m0_58077663的博客
05-12 1万+
本篇为二数据选择器的设计篇
8数据选择器74HC151
07-14
对芯片的管脚 原理以及应用有着详细的论述
2个74151实现16数据选择器以及在Multisim的演示
Xmumu_的博客
07-01 1万+
不说废话了,开冲开冲????‍???? 74151是八位数据选择器,其实实现起来也很简单,主要就是最高位的问题嘛,比如说 0000 那直接都用不上2个74151,像111之后的才需要,所以最高位直接拔一根线出来,如果此时A=0那么择第一个,这里也是因为用到了使能输入端来择到底用哪个选择器,所以整体来说很简单。 这里有一张“神图” 哈哈哈哈哈???? 以下是在Multisim的演示???? 这个演示比较随便一点点,毕竟这个ABCD是随机输入的我现在就已1010为例子(这个输入还是比较麻烦的)
实现74HC151的81数据选择器功能以及用两片74HC151组成一个161数据选择器
热门推荐
Antonioxv的博客
11-29 7万+
VerilogHDL程序设计与仿真作业4: ——实现74HC151的81数据选择器功能以及用两片74HC151组成一个161数据选择器 文章目录VerilogHDL程序设计与仿真作业4:——实现74HC151的81数据选择器功能以及用两片74HC151组成一个161数据选择器一、实验目的二、实现74HC151的81数据选择器功能1、设计思路2、实现代码3、测试代码4、仿真三、用两片74HC151组成一个161数据选择器1、设计思路2、实现代码3、测试代码4、仿真 一、实验目的 实现74HC15
EGO1—实现81的数据选择器74HC151
unique_ZRF的博客
09-15 1万+
FPGA、74HC151数据选择器
Verilog 161数据选择器
Ricardo_yu的博客
09-25 2385
Verilog 161数据选择器
4一_out_4数据选择器_源码.zip
10-19
4数据选择器是一种数字逻辑电路,常用于数字系统中数据流的择和路由。它根据四个输入信号中的一个择信号来决定从四个输入中取哪个数据作为输出。这种器件在计算机、通信设备、微处理器以及其他数字系统...
[FPGA] 三位四数据选择器
zmotfosho的博客
12-30 7035
用vivado实现三位四一多路选择器,采用门级描述和行为级描述的方式实现。 一、Block Design 根据四一多路选择器的电路图,将非门、三输入与门、四输入或门封装成IP核,然后用block design调用IP核即可 与门 module andGate #(parameter SIZE = 3) ( input s0, input s1, input [(SIZE-1):0] a, output [(SIZE-1):0] out ); genvar i; gen.
请用verilog语言实现74HC153,也就是双路41选择器的功能
最新发布
09-18
Verilog语言中,我们可以使用`case`结构和`wire`声明来实现74HC153这种双路41选择器的功能。以下是一个简单的示例: ```verilog module four_to_one_selector ( input wire [3:0] address, // 地址信号 input...
使用两个74LS153器件来实现81
11-08
使用两个74LS153器件来实现81,使用两个74LS153的41的来实现的
三级161数据选择器verilog实现
04-09
161数据选择器(MUX)分为三级实现的verilog代码,三个文件,可以直接调试仿真。
采用2个41数据选择器(74LS153)构成1个81数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
11-07
数据选择器的功能及应用,采用2个41数据选择器(74LS153)构成1个81数据选择器,要求自己设计电路,画出逻辑电路接线图,连接调试,并测试真值表,验证设计电路是否符合要求
四位比较器和八选数据选择器
11-28
四位比较器和八选数据选择器实验报告,图形及图形分析
41数据选择器扩展为8数据选择器
01-14
基于Multisim14制作的41数据选择器扩展为8数据选择器仿真图
8片74151扩展为641数据选择器
weixin_42048463的博客
11-30 3257
对于关联电路的设计过程在"321数据选择器设计"的博客中已详细给出, 下面直接给出关联电路的真值表. 从真值表的取值组合中, 我们可以看出, 这符合3-8译码器输出的规律, 所以直接用1片3-8译码器来实现电路的关联即可. ...
(进来补知识啦!)利用双四数据选择器74153实现十六数据选择器(包含74153简单解释)
Xmumu_的博客
07-01 4万+
嘿嘿嘿????先来一段非常非常官方的解释????欣总解释:重点来喽????74153双向四数据选择器使用以下是在Multisim的演示????测试用例图: 先来一段非常非常官方的解释???? 本题属于典型的MUX扩展问题。用四一实现十六一的基本思路是,先用4个四一从16路输入信号中出4路,再用一个四一从这4路中出1路。十六一有16数据输入端D0~D15和4个地址输入端A3A2A1A0,设计的关键是4个地址输入端的使用。经过简单尝试就可以发现,合理的地址线连接方式应该是:将低2位地址A1
数电实验-----实现74LS153芯片扩展为81数据选择器以及应用(Quartus II )
m0_73633088的博客
11-20 4万+
74ls153芯片是属于四选择器的芯片。74LS153是双41数据选择器,有择输入端B和A,能有四种状态,中输入4个数据中的其中一个数据择输入中L,H分别代表为L为低电平,H为高电平。通输入可称为使能端,通输入为高电平时,输出端Y为L低电平,通为低电平时,输出Y为择的数据输出。
《EDA技术》十六数据选择器实验报告
m0_58209778的博客
06-18 1466
您们的严谨治学态度和无私奉献的精神,是我们学习的楷模,让我们在实验过程中收获满满,受益匪浅。在本文中,我们将依据十六数据选择器的原理,采用Quartus软件编写四种VHDL代码,以直观地展示并生成所需的波形图,这样的工作流程使得电路设计、验证与仿真更加高效、精确。每个输入信号与一组与择信号相应的组合逻辑进行“与”运算,然后所有的这些“与”运算的结果通过一个“或”门进行汇总。简而言之,逻辑门是构建复杂逻辑功能的基石,它们通过不同的组合方式,在电子系统中发挥着数据处理、存储和传输的不可或缺的作用。
写文章

热门文章

  • 网络诊断提示:远程计算机或设备将不接受连接 62492
  • Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器 35253
  • Verilog——串行四位加法器和超前四位加法器74HC283 26933
  • CD4532 8-3线优先编码器以及应用 19935
  • verilog——74HC4511七段显示译码器 19607

分类专栏

  • 客满满实习
  • pcl 6篇
  • c++ 4篇
  • opencv 2篇
  • 三维目标检测 3篇
  • matlab 1篇
  • cmake 1篇
  • python 3篇
  • windows问题 1篇
  • 力扣题库 5篇
  • pytorch 3篇
  • 计算机组成原理实验 2篇
  • Verilog 10篇

最新评论

  • 目标跟踪:KCF跟踪并使用yolov4进行重检测

    liushaisme: 矩形框的宽×宽+高×高,什么意思啊,就是yolo.c中DIO里面的c = rect_minimum_bounding_box.width * rect_minimum_bounding_box.width + rect_minimum_bounding_box.height * rect_minimum_bounding_box.height;

  • complex-YOLOv4(三):测试和评估作者训练好的模型以及训练模型

    qq_55075975: 作者你好。请问一下如果想将点云的标注框可视化输出怎么修改代码

  • Harris3D调参记录

    CSDN_XZL: harris.setRefine(true);细化后可能是任意点,false关闭才是点云的子集点

  • complex-YOLOv4(三):测试和评估作者训练好的模型以及训练模型

    张毛毛。: 请问这个complex-yolov4可以实现识别自己的rosbag中的点云物体吗

  • complex-YOLOv4(三):测试和评估作者训练好的模型以及训练模型

    Lцсаз: 我在跑evaluate.py的时候遇到报错 raise RuntimeError('Attempting to deserialize object on CUDA device ' RuntimeError: Attempting to deserialize object on CUDA device 2 but torch.cuda.device_count() is 1. Please use torch.load with map_location to map your storages to an existing device. 应该如何解决呢?test.py不报错

大家在看

  • MIT-OC Electrochemical Energy Systems4-1 451
  • 【Java知识】java基础-开发一个自定义注解 201
  • 基于Matlab的毫米波雷达接收发射信号仿真
  • Docker 与 Yocto 52
  • 结构化分析与设计(绪论)

最新文章

  • complex-YOLOv4(三):测试和评估作者训练好的模型以及训练模型
  • complex-YOLOv4(二):浅test下complex_yolov3源码
  • complex-YOLOv4(一):Kitti数据集解读
2022年7篇
2021年24篇
2020年14篇

目录

目录

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

玻璃钢生产厂家广西玻璃钢雕塑产品玻璃钢雕塑喷漆生成厂家浦口玻璃钢造型雕塑卡通人物玻璃钢雕塑设计济源玻璃钢人物雕塑厂家定制北京开业商场美陈有哪些湖南户内玻璃钢雕塑北京超市商场美陈价格空间打造商场美陈玻璃钢花盆绘画入门佛山古代玻璃钢人物雕塑成都玻璃钢景观雕塑买三国演义小人物玻璃钢雕塑砀山玻璃钢花盆花器太湖石玻璃钢卡通雕塑制作战马雕塑玻璃钢呈贡设计玻璃钢雕塑多少钱河源透光玻璃钢雕塑摆件河北室内商场美陈生产公司玻璃钢红军雕塑咨询玻璃钢雕塑校园雕塑订做玻璃钢泡沫雕塑免费咨询济南玻璃钢雕塑摆件报价宣武区商场玻璃钢花盆浙江周年庆典商场美陈批发价顺河玻璃钢雕塑厂家山东中庭商场美陈批发价晋城标牌标识玻璃钢景观雕塑蚌埠人物玻璃钢雕塑定做价格沈阳玻璃钢小品雕塑香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声单亲妈妈陷入热恋 14岁儿子报警汪小菲曝离婚始末遭遇山火的松茸之乡雅江山火三名扑火人员牺牲系谣言何赛飞追着代拍打萧美琴窜访捷克 外交部回应卫健委通报少年有偿捐血浆16次猝死手机成瘾是影响睡眠质量重要因素高校汽车撞人致3死16伤 司机系学生315晚会后胖东来又人满为患了小米汽车超级工厂正式揭幕中国拥有亿元资产的家庭达13.3万户周杰伦一审败诉网易男孩8年未见母亲被告知被遗忘许家印被限制高消费饲养员用铁锨驱打大熊猫被辞退男子被猫抓伤后确诊“猫抓病”特朗普无法缴纳4.54亿美元罚金倪萍分享减重40斤方法联合利华开始重组张家界的山上“长”满了韩国人?张立群任西安交通大学校长杨倩无缘巴黎奥运“重生之我在北大当嫡校长”黑马情侣提车了专访95后高颜值猪保姆考生莫言也上北大硕士复试名单了网友洛杉矶偶遇贾玲专家建议不必谈骨泥色变沉迷短剧的人就像掉进了杀猪盘奥巴马现身唐宁街 黑色着装引猜测七年后宇文玥被薅头发捞上岸事业单位女子向同事水杯投不明物质凯特王妃现身!外出购物视频曝光河南驻马店通报西平中学跳楼事件王树国卸任西安交大校长 师生送别恒大被罚41.75亿到底怎么缴男子被流浪猫绊倒 投喂者赔24万房客欠租失踪 房东直发愁西双版纳热带植物园回应蜉蝣大爆发钱人豪晒法院裁定实锤抄袭外国人感慨凌晨的中国很安全胖东来员工每周单休无小长假白宫:哈马斯三号人物被杀测试车高速逃费 小米:已补缴老人退休金被冒领16年 金额超20万

玻璃钢生产厂家 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化