黑金AX7020开发板原理图学习分享第三部分 DDR芯片解析及 点亮第一盏灯

文章详细介绍了DDR芯片的工作原理,包括其双倍数据传输率、Prefetch技术,以及基础引脚功能。接着,通过一个实例展示了如何使用FPGA(以H5TQ4G63AFR为例)点亮LED灯,包括源文件编程、XDC管脚约束和仿真过程。
摘要由CSDN通过智能技术生成

一、DDR芯片详解

1. 硬件连接 

图1.1 FPGA端DDR连接

图1.2 H5TQ4G63AFR端连接

2. DDR SDRAM主要特征及基础引脚

2.1 数据传输

DDR传输相较于SDR速度快了一倍,原因:SDR仅在时钟上升沿传输数据,DDR信号上、下沿同时传输数据,50MHz时钟,DDR传输速率可达100Mb/s。

2.2 Prefetch技术

一个内核时钟周期可同时寻址多个存储单元并将这些数据以并行的方式统一传输到IO Buffer中,之后以更高的速度将IO Buffer中的数据传输出去。

2.3 DDR SDRAM 基础引脚

1. CKE I 时钟使能信号,高有效。用途:1关闭时钟进入省电模式,2进入自刷新状态。

2. CS# I 片选信号,低有效。片选信号有效后,DDR才能识别控制器发送的命令,设计时注意上拉。

3. RAS# I 行地址选通信号,低电平有效。

4. CAS# I 列地址选通信号,低电平有效。

5. WE# I 写使能信号,低电平有效。

3. H5TQ4G63AFR

3.1 电源

表3.1.1 芯片电源

3.2 引脚

2.3内已经介绍的引脚在此不再赘述。

表3.1.2 芯片引脚

二、点亮第一盏灯

1. 工程创建及程序编写

由图可知4个LED分别挂在FPGA BANK35的M14、M15、K16、J16引脚上。

根据原理图设计可知当LED1即FPPGA BANK35的M14引脚输出低电平,LED5点亮。

1.1 源文件程序编写

编写“led.v”,这里定义了一个 32 位的寄存器 timer, 用于循环计数 0~49999999(1 秒钟), 计数到 49999999(1 秒)的时候, 寄存器 timer 变为 0,并翻转四个 LED。这样原来 LED 是灭 的话,就会点亮,如果原来 LED 为亮的话,就会熄灭,编写好代码后保存。[1]

源代码:

`timescale 1ns / 1ps

module led(

    input sys_clk,

    input rst_n,

    output reg [3:0] led

    );

reg[31:0] timer_cnt;

always@(posedge sys_clk or negedge rst_n)

begin

    if(!rst_n)

    begin

        led<=4'd0;

        timer_cnt<=32'd0;

    end

    else if(timer_cnt>=32'd49_999_999)

    begin

        led<=~led;

        timer_cnt<=32'd0;

    end

    else

    begin

        led<=~led;

        timer_cnt<=timer_cnt+32'd1;

    end

end

endmodule

1.2  编写XDC管脚约束文件

Vivado 使用的约束文件格式为 xdc 文件。xdc 文件里主要是完成管脚的约束,时钟的约束, 以及组的约束。需要对 led.v 程序中的输入输出端口分配到 FPGA 的真实管脚上。 

set_property PACKAGE_PIN J16 [get_ports {led[3]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]

set_property PACKAGE_PIN K16 [get_ports {led[2]}]

set_property PACKAGE_PIN M15 [get_ports {led[1]}]

set_property PACKAGE_PIN M14 [get_ports {led[0]}]

set_property PACKAGE_PIN N15 [get_ports rst_n]

set_property PACKAGE_PIN U18 [get_ports sys_clk]

set_property IOSTANDARD LVCMOS33 [get_ports rst_n]

set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]

create_clock -period 20.000 -name sys_clk -waveform {0.000 10.000} [get_ports sys_clk]

XDC 编写语法,普通 IO 口只需约束引脚号和电压,管脚约束 

如下: set_property PACKAGE_PIN "引脚编号" [get_ports “端口名称”] 

电平信号的约束如下: set_property IOSTANDARD "电平标准" [get_ports “端口名称”]

create_clock -period 20.000 -name sys_clk -waveform {0.000 10.000} [get_ports sys_clk]为50M时钟

1.3仿真文件编写及仿真波形

`timescale 1ns / 1ps

module vtf_led_test;

//input

reg sys_clk;

reg rst_n;

wire [3:0] led;

//Instantiate the Unit Under Test (UUT)

led uut(

    .sys_clk(sys_clk),

    .rst_n(rst_n),

    .led(led)

);

initial

begin

//Initialize Inputs

    sys_clk=0;

    rst_n=0;

    #1000;

    rst_n=1;

end

//Create clock

always #10 sys_clk=~sys_clk;

endmodule

图1.3.1仿真波形图

vivado安装包及LED工程文件连接:

链接:https://pan.baidu.com/s/1BnjrSLnDaeA-_KFAPDEgcA 

提取码:es55

注释:

[1]该部分内容参考cource_s1_ALINX_ZYNQ(AX7010_AX7020)2019版开发平台FPGA教程V1.02

ZYNQ-XC7Z020开发板原理图原理图库,官方
10-11
ZYNQ-XC7Z020开发板原理图原理图库,全是自己对照官方原理图纸绘画。如果不错的话给一个赞。
AX7020开发板原理图V2.0
03-05
黑金AX7020AX7020---------AX7020开发板原理图V2.0
黑金AX7020开发板原理图学习分享第一部分 整体架构&&电源时序整理
weixin_46154042的博客
06-04 1748
整体架构&&电源时序整理
ZYNQ-AX7020原理图、PCB图和引脚
03-23
包含ZYNQ7000系列中的AX7010、AX7020芯片原理图结构图等硬件资料:AX7020开发板原理图V2.0、AX7020开发板PCB设计图、AX7020尺寸结构、AX7010_AX7020管脚。
xilinx zynq 7020系列原理图
10-27
zynq系列的原理图,包含了各个外设的设计,以及整个SOC的外围电路。
黑金AX7020开发板原理图学习分享第二部分 电源及网络芯片详解
weixin_46154042的博客
06-04 1860
电源及网络芯片详解
黑金AX7020开发板原理图学习分享第四部分 时钟倍频时钟倍频分频
weixin_46154042的博客
06-04 459
时钟倍频时钟倍频分频
黑金AX7020 PYNQ入门
摸鱼人
07-15 3016
黑金AX7020开发板 用户手册下载连接:AX7020
AX7020开发板资料
01-19
AX7020开发板最新的原理图版本及Allegro元器件封装库,
ALINX黑金Zynq7000开发平台AX7010_AX7020配套教程V20181121
11-21
最新,ALINX黑金Zynq7000开发平台AX7010_AX7020配套教程V20181121
AC7020学习教程,原理图,pcb库
04-22
AC7020学习教程,原理
FPGA黑金开发板核心板原理图
08-09
FPGA黑金开发板核心板原理图包括: ALTERA EP2C8Q208,HY57V641620ET
ALINX黑金AX7020开发板用户手册
03-19
黑金基于XILINX ZYNQ7000开収平台的开収板2016款正式収布了,型号为:AX7020 。此款开収平台是XILINX的Zynq7000 SOC 芯片的解决方案。它采用ARM+FPGA SOC技术将双核ARM Cortex-A9 和FPGA 可编程逡辑集成在一颗芯片上。它采用的是Xilinx的Zynq7000系列XC7Z020-2CLG400I作为核心处理器,在ARM和FPGA上分别具有丰富的硬件资源和外围接口。设计上坚持“精致、实用、简洁”的设计理念,它丌但适合于软件工作人员的前期的软件验证,也适合于硬件开収人员的硬件设计即软硬件的系统协作,加快项目的开发进程。
xilinx zynq 7020 芯片手册
11-02
xilinx zynq zedboard 学习资料,zedboard 开发板学习资料
ZYNQ7020SCH PCB
11-21
基于ZYNQ7020的设计 ,最小系统板,具有EMMC,两片DDR3,其他接口以接插件的形式留出
ZYNQ专题-7020驱动KSZ9031 PHY芯片
最新发布
Anitawen的博客
05-10 2042
之所以有这篇文章,得益于Alinx的开发板
【ALINX】FPGA ZYNQ视频教程AX7020教程—02_Vivoda初体验LED灯工程
zhenaxin的博客
12-23 2024
【ALINX】FPGA ZYNQ视频教程——AX7010/AX7020教程——FPGA实验篇]# 详细介绍了 02_Vivoda初体验LED灯工程 调试过程
ZYNQ 7020 FPGA DDR3
热门推荐
qq_39455093的博客
07-14 1万+
ZYNQ 7020 FPGA DDR3ZYNQ是FPGA+双核ARM的架构 ZYNQ是FPGA+双核ARM的架构 最近我需要用到ZYNQ来做东西,但是网上看见到的开发板FPGA部分没有没有挂DDR3,只能结合自己以前的经验和现有的资料,摸索一下。本文分为两部分: 1. zynq的FPGA部分怎么加上两片ddr3,引脚分配,时钟等。 2. 如何测试,验证PCB效果。 注意下面的FPGA Part和速度等级,确保选择的是自己使用的芯片,之后选择下一步。 |:-----------------------
黑金 AX7020 开发板原理图学习分享第二部分 电源及网络芯片详解
seawithtown的博客
04-27 1255
1、2、4、5、7、8、10、11,MDI[0]+、MDI[0]-、MDI[1]+、MDI[1]-、MDI[2]+、MDI[2]-、MDI[3]+、MDI[3]-:I/O,PHY 芯片向变压器输出的四路差分信号。34,LED0/PHY_AD0:O/LI/PU,LED 闪烁,数据开始传输或者接收/PHY 地址配置第 0 位。
写文章

热门文章

  • LTM4644 DCDC电源芯片解析 8421
  • verilog学习记录(3)——D触发器设计 5667
  • RTL8211 集成10_100_1000M以太网精密收发器 5211
  • 惠斯通电桥 4355
  • 以LAN8720A为例的以太网硬件设计方案 4340

分类专栏

  • 硬件设计学习 28篇
  • 电路基础 20篇
  • FPGA学习 16篇
  • 软考备考——高级架构师 15篇
  • 协议学习 9篇
  • 黑金AX7020开发板逻辑编写学习 6篇

最新评论

  • 黑金AX7020开发板原理图学习分享第一部分 整体架构&&电源时序整理

    钱多多小姐: 链接:https://pan.baidu.com/s/1W6bLIvJ72wolGve-d1i3Vw 提取码:5mng

  • 黑金AX7020开发板原理图学习分享第一部分 整体架构&&电源时序整理

    Kobe_Mancity: 您好,您分享的网盘连接已经失效了,可以重新分享学习一下吗?感谢

  • 示波器的使用——面板篇

    清乐校: 牛的鲤鱼

  • 示波器的使用——面板篇

    会跑的: 钱多多小姐 表情包赛高

  • PCIE接口协议学习一

    会跑的: 钱多多小姐 赛高

最新文章

  • 以MP8756为例的电源设计
  • Xilinx FPGA数字信号处理系统设计指南学习笔记——八DSP信号处理
  • 高级架构师备考(十五)——软件架构评估
2024年29篇
2023年59篇

目录

目录

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43元 前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

钱多多小姐

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或 充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值

玻璃钢生产厂家玻璃钢雕塑用泡沫怎么做宝鸡玻璃钢卡通雕塑东营广场玻璃钢雕塑价格大型玻璃钢鼎雕塑常州酒店玻璃钢雕塑设计湘潭玻璃钢座椅雕塑中山玻璃钢卡通雕塑介绍玻璃钢花盆制做上街区玻璃钢大型雕塑东莞自发光动物玻璃钢雕塑现货兴化玻璃钢雕塑加工银川玻璃钢博物馆雕塑聊城玻璃钢美陈雕塑玻璃钢人物雕塑采购校园玻璃钢雕塑价格江苏常用玻璃钢雕塑摆件甘肃酒店玻璃钢雕塑艺术摆件常州汕头玻璃钢雕塑山东玻璃钢鹿动物雕塑多少钱商场地面520美陈装饰邯郸玻璃钢雕塑厂家玻璃钢动物雕塑马图片玻璃钢海盗人物雕塑北京玻璃钢雕塑心形花盆福牛旺财玻璃钢雕塑玻璃钢花盆低价出售神像玻璃钢雕塑江都玻璃钢长颈雕塑玻璃钢龙王雕塑儿童玻璃钢雕塑设计报价香港通过《维护国家安全条例》两大学生合买彩票中奖一人不认账让美丽中国“从细节出发”19岁小伙救下5人后溺亡 多方发声单亲妈妈陷入热恋 14岁儿子报警汪小菲曝离婚始末遭遇山火的松茸之乡雅江山火三名扑火人员牺牲系谣言何赛飞追着代拍打萧美琴窜访捷克 外交部回应卫健委通报少年有偿捐血浆16次猝死手机成瘾是影响睡眠质量重要因素高校汽车撞人致3死16伤 司机系学生315晚会后胖东来又人满为患了小米汽车超级工厂正式揭幕中国拥有亿元资产的家庭达13.3万户周杰伦一审败诉网易男孩8年未见母亲被告知被遗忘许家印被限制高消费饲养员用铁锨驱打大熊猫被辞退男子被猫抓伤后确诊“猫抓病”特朗普无法缴纳4.54亿美元罚金倪萍分享减重40斤方法联合利华开始重组张家界的山上“长”满了韩国人?张立群任西安交通大学校长杨倩无缘巴黎奥运“重生之我在北大当嫡校长”黑马情侣提车了专访95后高颜值猪保姆考生莫言也上北大硕士复试名单了网友洛杉矶偶遇贾玲专家建议不必谈骨泥色变沉迷短剧的人就像掉进了杀猪盘奥巴马现身唐宁街 黑色着装引猜测七年后宇文玥被薅头发捞上岸事业单位女子向同事水杯投不明物质凯特王妃现身!外出购物视频曝光河南驻马店通报西平中学跳楼事件王树国卸任西安交大校长 师生送别恒大被罚41.75亿到底怎么缴男子被流浪猫绊倒 投喂者赔24万房客欠租失踪 房东直发愁西双版纳热带植物园回应蜉蝣大爆发钱人豪晒法院裁定实锤抄袭外国人感慨凌晨的中国很安全胖东来员工每周单休无小长假白宫:哈马斯三号人物被杀测试车高速逃费 小米:已补缴老人退休金被冒领16年 金额超20万

玻璃钢生产厂家 XML地图 TXT地图 虚拟主机 SEO 网站制作 网站优化