设为首页 收藏本站
开启辅助访问 切换到宽版

  找回密码
  立即注册

QQ登录

只需一步,快速开始

快捷导航
  • 论坛
  • 问答区
  • 单片机教程
  • 单片机DIY
  • 电子DIY
  • 帮助

热门: 51单片机 | 24小时必答区 | 单片机教程 | 单片机DIY制作 | STM32 | Cortex M3 | 模数电子 | 电子DIY制作 | 音响/功放 | 拆机乐园 | Arduino | 嵌入式OS | 程序设计

搜索
» 论坛 嵌入式/单片机论坛 51单片机 51单片机RLC测量仪程序设计(含proteus仿真原理图)
返回列表 发新帖
查看: 2346|回复: 2
打印 上一主题 下一主题
收起左侧

51单片机RLC测量仪程序设计(含proteus仿真原理图)

[复制链接]
跳转到指定楼层
楼主
ID:673326 发表于 2021-4-17 20:00 | 只看该作者 | 只看大图 回帖奖励 | 倒序浏览 | 阅读模式
RLC.pdsprj 仿真文件需要Proteus8.7以上版本才能打开
没有则可以打开Proteus软件后打开RLC.DSN文件进行仿真

仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


单片机源程序如下:
  1. #include<reg52.h>
  2. #include<intrins.h>
  3. #define uchar unsigned char
  4. #define uint  unsigned int
  5. sbit RS=P2^6;
  6. sbit RW=P2^5;
  7. sbit E=P2^7;
  8. sbit R=P1^0;
  9. sbit C=P1^1;
  10. sbit L=P1^2;
  11. sbit A1=P1^3;
  12. sbit A0=P1^4;

  13. #define LCD_data  P0                                       
  14. uchar   code  table1[10]={"R=      R"};
  15. uchar   code  table2[10]={"C=      pF"};
  16. uchar   code  table3[10]={"L=      mH"};

  17. uchar code f_table[88]={13,14,15,16,17,        18,        19,        20,        21,        22,        23,        24,        25,        26,        27,        28,        29,        30,        31,        32,        33,        34,        35,        36,        37,        38,        39,        40,        41,        42,        43,        44,        45,        46,        47,        48,        49,        50,        51,        52,        53,        54,        55,        56,        57,        58,        59,        60,        61,        62,        63,        64,        65,        66,        67,        68,        69,        70,        71,        72,        73,        74,        75,        76,        77,        78,        79,        80,        81,        82,        83,        84,        85,        86,        87,        88,        89,        90,        91,        92,        93,        94,        95,        96,        97,        98,        99,        100};
  18. uchar code f_correct[88]={9,10,        11,        12,        12,        12,        13,        14,        15,        16,        17,        18,        18,        18,        19,        20,        21,        21,        22,        23,        24,        25,        25,        26,        26,        27,        28,        29,        29,        30,        30,        31,        32,        33,        33,        34,        35,        35,        36,        37,        38,        38,        39,        40,        41,        41,        42,        42,        43,        44,        45,        45,        46,        47,        48,        49,        49,        50,        51,        51,        52,        53,        54,        54,        55,        55,        56,        57,        57,        58,        59,        60,        60,        62,        62,        63,        64,        64,        65,        66,        66,        67,        67,        68,        69,        70,        71,        71,};

  19. uchar a6,a5,a4,a3,a2,a1;
  20. uchar flag;
  21. unsigned long cnt,cnt1;
  22. uchar f_cnt;


  23. /********************************/

  24. void delay_us(); //18us
  25. void delay_ms(uint);
  26. void lcd_init();
  27. void lcd_write_com(uchar com);
  28. void lcd_write_dat(uchar dat);
  29. void lcd_init();
  30. void lcd_display(uchar add,uchar dat);
  31. /***********************************/

  32. void delay_us()
  33. {
  34.         uchar x;
  35.         for(x=0;x<5;x++);
  36. }

  37. void delay_ms(uint z)
  38. {
  39.         uint x,y;
  40.         for(x=0;x<z;x++)
  41.                 for(y=0;y<123;y++);
  42. }
  43. void lcd_write_com(uchar com)
  44. {
  45.   E=0;
  46.   RS=0;
  47.   RW=0;
  48.   delay_us();
  49.   LCD_data=com;
  50.   E=1;           //???????
  51.   delay_us();
  52.   E=0;
  53. }
  54. void lcd_write_dat(uchar dat)
  55. {
  56.   E=0;
  57.   RS=1;
  58.   RW=0;
  59.   delay_us();
  60.   LCD_data=dat;
  61.   E=1;           //???????
  62.   delay_us();
  63.   E=0;
  64. }
  65. void lcd_init()                  //lcd???
  66. {
  67.         delay_ms(15);
  68.         lcd_write_com(0x38);
  69.         delay_ms(10);
  70.         lcd_write_com(0x0c);
  71.         lcd_write_com(0x06);
  72.         lcd_write_com(0x01);
  73.         delay_ms(2);
  74.         
  75.         
  76. }
  77. void timer_init(void)  //??????
  78. {
  79.         TMOD=0X51;
  80.         PT0=1;     /*????*/
  81.         TH0=0x3c;
  82.         TL0=0xb0;
  83.         TH1=0;
  84.         TL1=0;
  85.         ET0=1;
  86.         ET1=1;
  87.         TR0=1;
  88.         TR1=1;
  89.         EA=1;        
  90. }
  91. void lcd_display(uchar add,uchar dat)           //lcd??(??,??)
  92. {

  93.         lcd_write_com(add);
  94.         
  95.         lcd_write_dat(dat);
  96.         delay_us();

  97. }
  98. void real_display(void)
  99. {
  100.             if(!R)
  101.                          {
  102. //                         while(!R);
  103.                          A1=A0=0;        
  104.                         lcd_display(0x80,table1[0]);
  105.                         lcd_display(0x80+1,table1[1]);
  106.                         lcd_display(0x80+8,table1[8]);
  107.                         lcd_display(0x80+9,table1[9]);
  108.                           }
  109.                         else if(!C)
  110.                         {
  111.                         A0=0;A1=1;
  112.                         lcd_display(0x80,table2[0]);
  113.                         lcd_display(0x80+1,table2[1]);
  114.                         lcd_display(0x80+8,table2[8]);
  115.                         lcd_display(0x80+9,table2[9]);
  116.                         }
  117.                         else if(!L)
  118.                         {
  119.                         A0=1;A1=0;
  120.                         lcd_display(0x80,table3[0]);
  121.                         lcd_display(0x80+1,table3[1]);
  122.                         lcd_display(0x80+8,table3[8]);
  123.                         lcd_display(0x80+9,table3[9]);
  124.                         }
  125.                         if(a6)
  126.                           lcd_display(0x80+2,0x30+a6);
  127.                         else
  128.                         lcd_display(0x80+2,' ');


  129.                         if(a6||a5)
  130.                         lcd_display(0x80+3,0x30+a5);
  131.                         else
  132.                                  lcd_display(0x80+3,' ');


  133.                         if(a6||a5||a4)
  134.                         lcd_display(0x80+4,0x30+a4);        
  135.                         else
  136.                    lcd_display(0x80+4,' ');


  137.                         if(a6||a5||a4||a3)
  138.                         lcd_display(0x80+5,0x30+a3);        
  139.                         else
  140.                     lcd_display(0x80+5,' ');


  141.                         if(a6||a5||a4||a3||a2)
  142.                         lcd_display(0x80+6,0x30+a2);
  143.                         else
  144.                         lcd_display(0x80+6,' ');

  145.                         
  146.                         lcd_display(0x80+7,0x30+a1);
  147.                

  148. }

  149. void correct(void)                        //??????
  150. {
  151.         uchar i,k;
  152.         unsigned long wucha;
  153.         if(cnt<100000)                   //100KHz?????
  154.         {
  155.                 if(cnt>980&&cnt<2100)     cnt-=1;
  156.                 if(cnt>=2100&&cnt<3900)   cnt-=2;
  157.                 if(cnt>=3900&&cnt<4800)   cnt-=3;
  158.                 if(cnt>=4800&&cnt<5700)   cnt-=4;
  159.                 if(cnt>=5700&&cnt<8000)   cnt-=5;
  160.                 if(cnt>=8000&&cnt<9100)          cnt-=6;
  161.                 if(cnt>=9100&&cnt<10900)  cnt-=7;
  162.                 if(cnt>=10900&&cnt<11900) cnt-=8;
  163.                 if(cnt>=11900&&cnt<13000) cnt-=9;
  164.                 if(cnt>=13000&&cnt<=100000)
  165.                 {
  166.                         k=cnt/1000;
  167.                         for(i=0;i<88;i++)
  168.                         {
  169.                                 if(k==f_table[i])
  170.                                 {
  171.                                         cnt-=f_correct[i];
  172.                                 }
  173.                         }
  174.                 }
  175.                
  176.                
  177.         }
  178.         if(cnt>100000)         
  179.         {
  180.                 wucha=(cnt/1000)*73065/100000;
  181.                 cnt-=wucha;
  182.         }
  183. }

  184. void main()
  185. {

  186.     timer_init();
  187.         lcd_init();
  188.         while(1)
  189.         {               
  190.                    if(flag==1)
  191.                    {
  192.                         real_display();
  193.                         flag=0;
  194.                         }
  195.                
  196.         
  197.         }
  198.         
  199. }
  200. void timer0() interrupt 1
  201. {
  202.         uchar timer0;
  203.         
  204.         TH0=0x3c;          //50ms
  205.         TL0=0xb0;
  206.         timer0++;
  207.         if(timer0==20)
  208.         {
  209.                 TR1=0;          //??????
  210.                 EA=0;
  211.                 cnt=TL1+TH1*256+f_cnt*65536;
  212.                 correct();
  213.             //cnt1=(1e+9)/(2*0.693 *cnt)-20000/2;
  214.                 if(!R)
  215.                 {
  216. //                while(!R);
  217.                 cnt1=1000000/(0.2*0.693*cnt)-165;
  218.                 }
  219.                 else if(!C)
  220.                 {
  221.                 cnt1=1000000000/(0.693*3*510*cnt);
  222.                 }
  223.                 else if(!L)
  224. ……………………

  225. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
RLC仿真程序.7z (91.7 KB, 下载次数: 46)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:21740 发表于 2021-4-18 11:27 | 只看该作者
附件第一个程序编译不能通过,第2个程序ok
回复

使用道具 举报

板凳
ID:907934 发表于 2021-4-18 18:31 | 只看该作者
好资料,51黑有你更精彩!!!
回复

使用道具 举报

返回列表 发新帖
高级模式
B Color Image Link Quote Code Smilies
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版| 小黑屋| 51黑电子论坛 | 51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表